summaryrefslogtreecommitdiff
path: root/modules/av1/module.mk
diff options
context:
space:
mode:
Diffstat (limited to 'modules/av1/module.mk')
-rw-r--r--modules/av1/module.mk13
1 files changed, 13 insertions, 0 deletions
diff --git a/modules/av1/module.mk b/modules/av1/module.mk
new file mode 100644
index 0000000..146583f
--- /dev/null
+++ b/modules/av1/module.mk
@@ -0,0 +1,13 @@
+#
+# module.mk
+#
+# Copyright (C) 2010 - 2016 Creytiv.com
+#
+
+MOD := av1
+$(MOD)_SRCS += av1.c
+$(MOD)_SRCS += decode.c
+$(MOD)_SRCS += encode.c
+$(MOD)_LFLAGS += -laom
+
+include mk/mod.mk