summaryrefslogtreecommitdiff
path: root/data
diff options
context:
space:
mode:
authorAndrej Shadura <andrewsh@debian.org>2018-05-08 15:59:29 +0200
committerAndrej Shadura <andrewsh@debian.org>2018-05-08 15:59:29 +0200
commit5b8466f7fae0e071c0f4eda13051c93313910028 (patch)
tree7061957f770e5e245ba00666dad912a2d44e7fdc /data
Import Upstream version 1.3.7
Diffstat (limited to 'data')
-rw-r--r--data/licence.txt341
-rw-r--r--data/m5ihib.dtd103
-rw-r--r--data/mcus.xml1968
-rw-r--r--data/project.dtd220
-rw-r--r--data/tips.xml191
5 files changed, 2823 insertions, 0 deletions
diff --git a/data/licence.txt b/data/licence.txt
new file mode 100644
index 0000000..6904999
--- /dev/null
+++ b/data/licence.txt
@@ -0,0 +1,341 @@
+
+ GNU GENERAL PUBLIC LICENSE
+ Version 2, June 1991
+
+ Copyright (C) 1989, 1991 Free Software Foundation, Inc.
+ 675 Mass Ave, Cambridge, MA 02139, USA
+ Everyone is permitted to copy and distribute verbatim copies
+ of this license document, but changing it is not allowed.
+
+ Preamble
+
+ The licenses for most software are designed to take away your
+freedom to share and change it. By contrast, the GNU General Public
+License is intended to guarantee your freedom to share and change free
+software--to make sure the software is free for all its users. This
+General Public License applies to most of the Free Software
+Foundations software and to any other program whose authors commit to
+using it. (Some other Free Software Foundation software is covered by
+the GNU Library General Public License instead.) You can apply it to
+your programs, too.
+
+ When we speak of free software, we are referring to freedom, not
+price. Our General Public Licenses are designed to make sure that you
+have the freedom to distribute copies of free software (and charge for
+this service if you wish), that you receive source code or can get it
+if you want it, that you can change the software or use pieces of it
+in new free programs; and that you know you can do these things.
+
+ To protect your rights, we need to make restrictions that forbid
+anyone to deny you these rights or to ask you to surrender the rights.
+These restrictions translate to certain responsibilities for you if you
+distribute copies of the software, or if you modify it.
+
+ For example, if you distribute copies of such a program, whether
+gratis or for a fee, you must give the recipients all the rights that
+you have. You must make sure that they, too, receive or can get the
+source code. And you must show them these terms so they know their
+rights.
+
+ We protect your rights with two steps: (1) copyright the software, and
+(2) offer you this license which gives you legal permission to copy,
+distribute and/or modify the software.
+
+ Also, for each authors protection and ours, we want to make certain
+that everyone understands that there is no warranty for this free
+software. If the software is modified by someone else and passed on, we
+want its recipients to know that what they have is not the original, so
+that any problems introduced by others will not reflect on the original
+authors reputations.
+
+ Finally, any free program is threatened constantly by software
+patents. We wish to avoid the danger that redistributors of a free
+program will individually obtain patent licenses, in effect making the
+program proprietary. To prevent this, we have made it clear that any
+patent must be licensed for everyones free use or not licensed at all.
+
+ The precise terms and conditions for copying, distribution and
+modification follow.
+
+ GNU GENERAL PUBLIC LICENSE
+ TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION
+
+ 0. This License applies to any program or other work which contains
+a notice placed by the copyright holder saying it may be distributed
+under the terms of this General Public License. The "Program", below,
+refers to any such program or work, and a "work based on the Program"
+means either the Program or any derivative work under copyright law:
+that is to say, a work containing the Program or a portion of it,
+either verbatim or with modifications and/or translated into another
+language. (Hereinafter, translation is included without limitation in
+the term "modification".) Each licensee is addressed as "you".
+
+Activities other than copying, distribution and modification are not
+covered by this License; they are outside its scope. The act of
+running the Program is not restricted, and the output from the Program
+is covered only if its contents constitute a work based on the
+Program (independent of having been made by running the Program).
+Whether that is true depends on what the Program does.
+
+ 1. You may copy and distribute verbatim copies of the Programs
+source code as you receive it, in any medium, provided that you
+conspicuously and appropriately publish on each copy an appropriate
+copyright notice and disclaimer of warranty; keep intact all the
+notices that refer to this License and to the absence of any warranty;
+and give any other recipients of the Program a copy of this License
+along with the Program.
+
+You may charge a fee for the physical act of transferring a copy, and
+you may at your option offer warranty protection in exchange for a fee.
+
+ 2. You may modify your copy or copies of the Program or any portion
+of it, thus forming a work based on the Program, and copy and
+distribute such modifications or work under the terms of Section 1
+above, provided that you also meet all of these conditions:
+
+ a) You must cause the modified files to carry prominent notices
+ stating that you changed the files and the date of any change.
+
+ b) You must cause any work that you distribute or publish, that in
+ whole or in part contains or is derived from the Program or any
+ part thereof, to be licensed as a whole at no charge to all third
+ parties under the terms of this License.
+
+ c) If the modified program normally reads commands interactively
+ when run, you must cause it, when started running for such
+ interactive use in the most ordinary way, to print or display an
+ announcement including an appropriate copyright notice and a
+ notice that there is no warranty (or else, saying that you provide
+ a warranty) and that users may redistribute the program under
+ these conditions, and telling the user how to view a copy of this
+ License. (Exception: if the Program itself is interactive but
+ does not normally print such an announcement, your work based on
+ the Program is not required to print an announcement.)
+
+These requirements apply to the modified work as a whole. If
+identifiable sections of that work are not derived from the Program,
+and can be reasonably considered independent and separate works in
+themselves, then this License, and its terms, do not apply to those
+sections when you distribute them as separate works. But when you
+distribute the same sections as part of a whole which is a work based
+on the Program, the distribution of the whole must be on the terms of
+this License, whose permissions for other licensees extend to the
+entire whole, and thus to each and every part regardless of who wrote it.
+
+Thus, it is not the intent of this section to claim rights or contest
+your rights to work written entirely by you; rather, the intent is to
+exercise the right to control the distribution of derivative or
+collective works based on the Program.
+
+In addition, mere aggregation of another work not based on the Program
+with the Program (or with a work based on the Program) on a volume of
+a storage or distribution medium does not bring the other work under
+the scope of this License.
+
+ 3. You may copy and distribute the Program (or a work based on it,
+under Section 2) in object code or executable form under the terms of
+Sections 1 and 2 above provided that you also do one of the following:
+
+ a) Accompany it with the complete corresponding machine-readable
+ source code, which must be distributed under the terms of Sections
+ 1 and 2 above on a medium customarily used for software interchange; or,
+
+ b) Accompany it with a written offer, valid for at least three
+ years, to give any third party, for a charge no more than your
+ cost of physically performing source distribution, a complete
+ machine-readable copy of the corresponding source code, to be
+ distributed under the terms of Sections 1 and 2 above on a medium
+ customarily used for software interchange; or,
+
+ c) Accompany it with the information you received as to the offer
+ to distribute corresponding source code. (This alternative is
+ allowed only for noncommercial distribution and only if you
+ received the program in object code or executable form with such
+ an offer, in accord with Subsection b above.)
+
+The source code for a work means the preferred form of the work for
+making modifications to it. For an executable work, complete source
+code means all the source code for all modules it contains, plus any
+associated interface definition files, plus the scripts used to
+control compilation and installation of the executable. However, as a
+special exception, the source code distributed need not include
+anything that is normally distributed (in either source or binary
+form) with the major components (compiler, kernel, and so on) of the
+operating system on which the executable runs, unless that component
+itself accompanies the executable.
+
+If distribution of executable or object code is made by offering
+access to copy from a designated place, then offering equivalent
+access to copy the source code from the same place counts as
+distribution of the source code, even though third parties are not
+compelled to copy the source along with the object code.
+
+ 4. You may not copy, modify, sublicense, or distribute the Program
+except as expressly provided under this License. Any attempt
+otherwise to copy, modify, sublicense or distribute the Program is
+void, and will automatically terminate your rights under this License.
+However, parties who have received copies, or rights, from you under
+this License will not have their licenses terminated so long as such
+parties remain in full compliance.
+
+ 5. You are not required to accept this License, since you have not
+signed it. However, nothing else grants you permission to modify or
+distribute the Program or its derivative works. These actions are
+prohibited by law if you do not accept this License. Therefore, by
+modifying or distributing the Program (or any work based on the
+Program), you indicate your acceptance of this License to do so, and
+all its terms and conditions for copying, distributing or modifying
+the Program or works based on it.
+
+ 6. Each time you redistribute the Program (or any work based on the
+Program), the recipient automatically receives a license from the
+original licensor to copy, distribute or modify the Program subject to
+these terms and conditions. You may not impose any further
+restrictions on the recipients exercise of the rights granted herein.
+You are not responsible for enforcing compliance by third parties to
+this License.
+
+ 7. If, as a consequence of a court judgment or allegation of patent
+infringement or for any other reason (not limited to patent issues),
+conditions are imposed on you (whether by court order, agreement or
+otherwise) that contradict the conditions of this License, they do not
+excuse you from the conditions of this License. If you cannot
+distribute so as to satisfy simultaneously your obligations under this
+License and any other pertinent obligations, then as a consequence you
+may not distribute the Program at all. For example, if a patent
+license would not permit royalty-free redistribution of the Program by
+all those who receive copies directly or indirectly through you, then
+the only way you could satisfy both it and this License would be to
+refrain entirely from distribution of the Program.
+
+If any portion of this section is held invalid or unenforceable under
+any particular circumstance, the balance of the section is intended to
+apply and the section as a whole is intended to apply in other
+circumstances.
+
+It is not the purpose of this section to induce you to infringe any
+patents or other property right claims or to contest validity of any
+such claims; this section has the sole purpose of protecting the
+integrity of the free software distribution system, which is
+implemented by public license practices. Many people have made
+generous contributions to the wide range of software distributed
+through that system in reliance on consistent application of that
+system; it is up to the author/donor to decide if he or she is willing
+to distribute software through any other system and a licensee cannot
+impose that choice.
+
+This section is intended to make thoroughly clear what is believed to
+be a consequence of the rest of this License.
+
+ 8. If the distribution and/or use of the Program is restricted in
+certain countries either by patents or by copyrighted interfaces, the
+original copyright holder who places the Program under this License
+may add an explicit geographical distribution limitation excluding
+those countries, so that distribution is permitted only in or among
+countries not thus excluded. In such case, this License incorporates
+the limitation as if written in the body of this License.
+
+ 9. The Free Software Foundation may publish revised and/or new versions
+of the General Public License from time to time. Such new versions will
+be similar in spirit to the present version, but may differ in detail to
+address new problems or concerns.
+
+Each version is given a distinguishing version number. If the Program
+specifies a version number of this License which applies to it and "any
+later version", you have the option of following the terms and conditions
+either of that version or of any later version published by the Free
+Software Foundation. If the Program does not specify a version number of
+this License, you may choose any version ever published by the Free Software
+Foundation.
+
+ 10. If you wish to incorporate parts of the Program into other free
+programs whose distribution conditions are different, write to the author
+to ask for permission. For software which is copyrighted by the Free
+Software Foundation, write to the Free Software Foundation; we sometimes
+make exceptions for this. Our decision will be guided by the two goals
+of preserving the free status of all derivatives of our free software and
+of promoting the sharing and reuse of software generally.
+
+ NO WARRANTY
+
+ 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY
+FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN
+OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES
+PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED
+OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
+MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS
+TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE
+PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING,
+REPAIR OR CORRECTION.
+
+ 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING
+WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR
+REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES,
+INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING
+OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED
+TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY
+YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER
+PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE
+POSSIBILITY OF SUCH DAMAGES.
+
+ END OF TERMS AND CONDITIONS
+
+ Appendix: How to Apply These Terms to Your New Programs
+
+ If you develop a new program, and you want it to be of the greatest
+possible use to the public, the best way to achieve this is to make it
+free software which everyone can redistribute and change under these terms.
+
+ To do so, attach the following notices to the program. It is safest
+to attach them to the start of each source file to most effectively
+convey the exclusion of warranty; and each file should have at least
+the "copyright" line and a pointer to where the full notice is found.
+
+ <one line to give the programs name and a brief idea of what it does.>
+ Copyright (C) 19yy <name of author>
+
+ This program is free software; you can redistribute it and/or modify
+ it under the terms of the GNU General Public License as published by
+ the Free Software Foundation; either version 2 of the License, or
+ (at your option) any later version.
+
+ This program is distributed in the hope that it will be useful,
+ but WITHOUT ANY WARRANTY; without even the implied warranty of
+ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ GNU General Public License for more details.
+
+ You should have received a copy of the GNU General Public License
+ along with this program; if not, write to the Free Software
+ Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
+
+Also add information on how to contact you by electronic and paper mail.
+
+If the program is interactive, make it output a short notice like this
+when it starts in an interactive mode:
+
+ Gnomovision version 69, Copyright (C) 19yy name of author
+ Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w.
+ This is free software, and you are welcome to redistribute it
+ under certain conditions; type `show c for details.
+
+The hypothetical commands `show w and `show c should show the appropriate
+parts of the General Public License. Of course, the commands you use may
+be called something other than `show w and `show c; they could even be
+mouse-clicks or menu items--whatever suits your program.
+
+You should also get your employer (if you work as a programmer) or your
+school, if any, to sign a "copyright disclaimer" for the program, if
+necessary. Here is a sample; alter the names:
+
+ Yoyodyne, Inc., hereby disclaims all copyright interest in the program
+ `Gnomovision (which makes passes at compilers) written by James Hacker.
+
+ <signature of Ty Coon>, 1 April 1989
+ Ty Coon, President of Vice
+
+This General Public License does not permit incorporating your program into
+proprietary programs. If your program is a subroutine library, you may
+consider it more useful to permit linking proprietary applications with the
+library. If this is what you want to do, use the GNU Library General
+Public License instead of this License.
+
diff --git a/data/m5ihib.dtd b/data/m5ihib.dtd
new file mode 100644
index 0000000..7140578
--- /dev/null
+++ b/data/m5ihib.dtd
@@ -0,0 +1,103 @@
+<!-- ROOT ELEMENT -->
+<!ELEMENT m5ihib (currentstate, subprograms, stepback)>
+<!-- Root element Parameters:
+ version - File version
+ datetime - Date and time of creation
+ source_file - Souce code compiled and loaded in simulator before hibernation
+ processor - Processor type
+ xdata - Size of External data memory
+ eeprom - Size of data EEPROM
+ md5 - MD5 hash of the source code file
+-->
+<!ATTLIST m5ihib
+ version CDATA #REQUIRED
+ datetime CDATA #REQUIRED
+ source_file CDATA #REQUIRED
+ processor CDATA #REQUIRED
+ xdata CDATA #REQUIRED
+ eeprom CDATA #REQUIRED
+ md5 CDATA #REQUIRED
+>
+
+
+<!-- Current state of MCU -->
+<!ELEMENT currentstate (iram, eram, xram, eeprom, sfr, special)>
+
+<!-- Internal data memory in decimal -->
+<!ELEMENT iram (#PCDATA)>
+
+<!-- Expanded data memory in decimal -->
+<!ELEMENT eram (#PCDATA)>
+
+<!-- External data memory in decimal -->
+<!ELEMENT xram (#PCDATA)>
+
+<!-- Data EEPROM in decimal -->
+<!ELEMENT eeprom (#PCDATA)>
+
+<!-- Special function registers -->
+<!ELEMENT sfr (addresses, values)>
+
+<!-- SFR decimal addresses in the same order as in tag values -->
+<!ELEMENT addresses (#PCDATA)>
+
+<!-- SFR decimal values in the same order as in tag values -->
+<!ELEMENT values (#PCDATA)>
+
+<!-- Special engine variables -->
+<!ELEMENT special (#PCDATA)>
+
+
+<!-- Content of list of active interrupts -->
+<!ELEMENT subprograms (sub)*>
+<!-- Parameters of tag "subprograms":
+ count - Number of recorded subprograms
+-->
+<!ATTLIST subprograms
+ count CDATA #REQUIRED
+>
+
+<!-- Active interrupt -->
+<!ELEMENT sub EMPTY>
+<!-- Parameters of tag "sub":
+ source - Source address
+ target - Target address
+ type - Type
+-->
+<!ATTLIST sub
+ source CDATA #REQUIRED
+ target CDATA #REQUIRED
+ type CDATA #REQUIRED
+>
+
+
+<!-- Stack for stepback function (backward stepping) -->
+<!ELEMENT stepback (step)*>
+<!-- Parameters of tag "stepback":
+ stacklength - Number of recorded program steps
+-->
+<!ATTLIST stepback
+ stacklength CDATA #REQUIRED
+>
+
+<!-- One program step -->
+<!ELEMENT step (spec, normal)>
+
+<!-- Special engine variables -->
+<!ELEMENT spec (#PCDATA)>
+
+<!-- Ordinary registers -->
+<!ELEMENT normal (reg)*>
+
+<!-- One register -->
+<!ELEMENT reg EMPTY>
+<!-- Parameters of tag "reg":
+ type - Memory type (E == ERAM; I == IDATA; X == XDATA; S == SFR)
+ addr - Register address
+ val - Previous register value
+-->
+<!ATTLIST reg
+ type CDATA #REQUIRED
+ addr CDATA #REQUIRED
+ val CDATA #REQUIRED
+>
diff --git a/data/mcus.xml b/data/mcus.xml
new file mode 100644
index 0000000..3c75a4a
--- /dev/null
+++ b/data/mcus.xml
@@ -0,0 +1,1968 @@
+<?xml version='1.0' encoding='utf-8'?>
+<!DOCTYPE mcus [
+ <!-- Root element -->
+ <!ELEMENT mcus (mcu)*>
+ <!--
+ lastupdate - Date of the last update of this file (format: %D, e.g. 11/18/07)
+ -->
+ <!ATTLIST mcus
+ lastupdate CDATA #IMPLIED
+ >
+
+ <!-- MCU definition tag -->
+ <!ELEMENT mcu (timers, more, bits, writeonly, sfr)>
+
+ <!-- Detailed specification of MCU parameters (all of them must not be an empty string)
+ vendor - Vendor name
+ name - Processor type
+ xdata - External data memory connectable
+ xcode - External program memoryconnectable
+ code - Capacity of internal program memory in kilo bytes (not bytes !)
+ frequency - Operating oscilator frequency (e.g "0 to 24 MHz")
+ ram - Capacity of internal data memory in bytes
+ portbits - Number of IO lines
+ uart - UART avaliable
+ interrupts - Number of interrupts
+ voltage - Oprating voltage (e.g "2.7 to 5.5 V")
+ timer2 - Timer 2 avaliable
+ watchdog - Watchdog timer avaliable
+ eram - Size of ERAM (0 means no eram avaliable) (> 0 requires intelpe="no")
+ dualdtpr - Dual Data Pointer (includes register AUXR1 if wdtcon="no")
+ auxr - Register AUXR
+ t2mod - Register T2MOD
+ portN - Implemented bits for port N (N < 6) (empty list means port is not implemented)
+ 0 - Bit not implemented
+ 1 - Bit implemented
+ e.g. port0="11110011" means:
+ P0.0 - implemented
+ P0.1 - implemented
+ P0.2 - implemented
+ P0.3 - implemented
+ P0.4 - not implemented
+ P0.5 - not implemented
+ P0.6 - implemented
+ P0.7 - implemented
+ pof - Power Off Flag implemented
+ gf0 - PCON.3 (General purpose flag) implemented
+ gf1 - PCON.4 (General purpose flag) implemented
+ pd - Power Down flag implemented
+ idl - IDLe mode flag implemented
+ smod0 - PCON.6 (SMOD0) implemented (requires uart="yes")
+ iph - IPH register implemented
+ acomparator - Analog comparator
+ euart - Extended UART (registers SADDR and SADEN)
+ clkreg - Register CLKREG implemented
+ pwdex - Bit PWDEX (CLKREG.1) implemented (requires clkreg="yes")
+ spi - SPI controller implemented
+ wdtcon - Register WDTCON implemented (removes AUXR.WDIDLE and AUXR.DISRTO) (requires watchdog="yes")
+ eeprom - Size of internal data EEPROM in bytes
+ intelpe - Register Intel_Pwd_Exit (AUXR.1) implemented (requires eram="0")
+ pwm - Pulse with modulation controller implemented (PCON.PWMEN)
+ x2reset - Is CLKREG.X2 untouched by reset (requires clkreg="yes" or ckcon="yes")
+ ckcon - SFR 0x8F is CKCON instead of CLKREG (requires clkreg="no")
+ auxr1gf3 - Bit GF3 in AUXR1 present
+ ao - Use AUXR.AO instead of AUXR.DISALE (requires auxr="yes")
+ wdtprg - WDTPRG (T4 T3 T2 T1 T0 S2 S1 S0) 0xA7 (requires watchdog="yes")
+ hddptr - Hidden Dual Data PoiTeR (That means than there is no DP0L, DP0H and DP1L, DP1H) (requires dualdtpr="yes")
+ auxrwdidle - Bit WDIDLE in register AUXR (requires auxr="yes")
+ auxrdisrto - Bit DISRTO in register AUXR (requires auxr="yes")
+ -->
+ <!ATTLIST mcu
+ vendor CDATA #REQUIRED
+ name NMTOKEN #REQUIRED
+ xdata (yes|no) #REQUIRED
+ xcode (yes|no) #REQUIRED
+ code CDATA #REQUIRED
+ frequency CDATA #REQUIRED
+ ram CDATA #REQUIRED
+ portbits CDATA #REQUIRED
+ uart (yes|no) #REQUIRED
+ interrupts CDATA #REQUIRED
+ voltage CDATA #REQUIRED
+ timer2 (yes|no) #REQUIRED
+ watchdog (yes|no) #REQUIRED
+ eram CDATA #REQUIRED
+ dualdtpr (yes|no) #REQUIRED
+ auxr (yes|no) #REQUIRED
+ t2mod (yes|no) #REQUIRED
+ port0 CDATA #REQUIRED
+ port1 CDATA #REQUIRED
+ port2 CDATA #REQUIRED
+ port3 CDATA #REQUIRED
+ port4 CDATA #REQUIRED
+ pof (yes|no) #REQUIRED
+ gf0 (yes|no) #REQUIRED
+ gf1 (yes|no) #REQUIRED
+ pd (yes|no) #REQUIRED
+ idl (yes|no) #REQUIRED
+ smod0 (yes|no) #REQUIRED
+ iph (yes|no) #REQUIRED
+ acomparator (yes|no) #REQUIRED
+ euart (yes|no) #REQUIRED
+ clkreg (yes|no) #REQUIRED
+ pwdex (yes|no) #REQUIRED
+ spi (yes|no) #REQUIRED
+ wdtcon (yes|no) #REQUIRED
+ eeprom CDATA #REQUIRED
+ intelpe (yes|no) #REQUIRED
+ pwm (yes|no) #REQUIRED
+ x2reset (yes|no) #REQUIRED
+ ckcon (yes|no) #REQUIRED
+ auxr1gf3 (yes|no) #REQUIRED
+ ao (yes|no) #REQUIRED
+ wdtprg (yes|no) #REQUIRED
+ hddptr (yes|no) #REQUIRED
+ auxrwdidle (yes|no) #REQUIRED
+ auxrdisrto (yes|no) #REQUIRED
+ >
+
+ <!-- Details about timers/counters (for slection dialog only) -->
+ <!ELEMENT timers (#PCDATA)>
+
+ <!-- More informations about uC (for slection dialog only) -->
+ <!ELEMENT more (#PCDATA)>
+
+ <!-- Map of implemented SFR bits (register not mentionded here have mask "FF")
+ AAMM AAMM ...
+ | |
+ | +- Mask (2 hex digits, 1 == implemented; 0 == not implemented (WRITE ONLY) )
+ +- Address (2 hex digits)
+ -->
+ <!ELEMENT bits (#PCDATA)>
+
+ <!-- Hexadecimal addresses of write only registers (e.g. AF 85 4B) -->
+ <!ELEMENT writeonly (#PCDATA)>
+
+ <!-- List of SFR and SFB which are avaliable on the choosen MCU
+ Implicit SFR and SFB:
+ B ACC A TMOD TH0 TH1 SP DPL DPH PCON
+ TL0 TL1 AB
+
+ PSW C CY AC F0 RS1 RS0 OV P
+ IE EA ET1 EX1 ET0 EX0
+ IP PT1 PX1 PT0 PX0
+ TCON TF1 TR1 TF0 TR0 IE1 IT1 IE0 IT0
+ -->
+ <!ELEMENT sfr (#PCDATA)>
+]>
+<mcus lastupdate="02/10/09">
+ <mcu
+ vendor="Intel" name="8051"
+ xdata="yes" xcode="yes"
+ code="4" frequency="0 to 12 MHz"
+ ram="128" portbits="32"
+ uart="yes" interrupts="6"
+ voltage=" " timer2="no"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="no" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="no"
+ gf1="no" pd="no"
+ idl="no" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Two 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ </more><bits>
+ 878F B81F A89F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ </sfr>
+ </mcu><mcu
+ vendor="Intel" name="8031"
+ xdata="yes" xcode="yes"
+ code="0" frequency="0 to 12 MHz"
+ ram="128" portbits="32"
+ uart="yes" interrupts="6"
+ voltage=" " timer2="no"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="no" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="no"
+ gf1="no" pd="no"
+ idl="no" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Two 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ </more><bits>
+ 878F B81F A89F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ </sfr>
+ </mcu><mcu
+ vendor="Intel" name="8751"
+ xdata="yes" xcode="yes"
+ code="4" frequency="0 to 12 MHz"
+ ram="128" portbits="32"
+ uart="yes" interrupts="6"
+ voltage=" " timer2="no"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="no" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="no"
+ gf1="no" pd="no"
+ idl="no" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Two 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ </more><bits>
+ 878F B81F A89F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ </sfr>
+ </mcu><mcu
+ vendor="Intel" name="80C51"
+ xdata="yes" xcode="yes"
+ code="4" frequency="0 to 12 MHz"
+ ram="128" portbits="32"
+ uart="yes" interrupts="6"
+ voltage=" " timer2="no"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="no" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Two 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ </more><bits>
+ 878F B81F A89F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ </sfr>
+ </mcu><mcu
+ vendor="Intel" name="80C31"
+ xdata="yes" xcode="yes"
+ code="0" frequency="0 to 12 MHz"
+ ram="128" portbits="32"
+ uart="yes" interrupts="6"
+ voltage=" " timer2="no"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="no" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Two 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ </more><bits>
+ 878F B81F A89F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ </sfr>
+ </mcu><mcu
+ vendor="Intel" name="87C51"
+ xdata="yes" xcode="yes"
+ code="4" frequency="0 to 12 MHz"
+ ram="128" portbits="32"
+ uart="yes" interrupts="6"
+ voltage=" " timer2="no"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="no" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Two 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ </more><bits>
+ 878F B81F A89F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ </sfr>
+ </mcu><mcu
+ vendor="Intel" name="8052"
+ xdata="yes" xcode="yes"
+ code="8" frequency="0 to 12 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="8"
+ voltage=" " timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="no" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="no"
+ gf1="no" pd="no"
+ idl="no" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ Low-power Idle and Power-down Modes
+ </more><bits>
+ C903 B83F A8BF 878F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ RCAP2L RCAP2H TL2 TH2
+
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Intel" name="8032"
+ xdata="yes" xcode="yes"
+ code="0" frequency="0 to 12 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="8"
+ voltage=" " timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="no" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="no"
+ gf1="no" pd="no"
+ idl="no" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ Low-power Idle and Power-down Modes
+ </more><bits>
+ C903 B83F A8BF 878F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ RCAP2L RCAP2H TL2 TH2
+
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Intel" name="8752"
+ xdata="yes" xcode="yes"
+ code="8" frequency="0 to 12 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="8"
+ voltage=" " timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="no" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="no"
+ gf1="no" pd="no"
+ idl="no" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ Low-power Idle and Power-down Modes
+ </more><bits>
+ C903 B83F A8BF 878F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ RCAP2L RCAP2H TL2 TH2
+
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Intel" name="80C52"
+ xdata="yes" xcode="yes"
+ code="8" frequency="0 to 12 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="6"
+ voltage=" " timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Timer 2
+ — CaptureTimer/Counter
+ — Up/Down Timer/Counter
+ — Baud Rate Generator
+ Full-Duplex Programma Serial Interface with
+ — Framing Error Detection
+ — Automatic Address Recognition
+ 6 InterruptSources
+ Enhanced Power Down Mode
+ Power Off Flag
+ ONCE Mode
+ </more><bits>
+ C903 B83F A8BF 878F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ SADEN SADDR RCAP2L RCAP2H TL2 TH2 T2MOD IPH FE
+
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Intel" name="87C52"
+ xdata="yes" xcode="yes"
+ code="8" frequency="0 to 12 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="6"
+ voltage=" " timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Timer 2
+ — CaptureTimer/Counter
+ — Up/Down Timer/Counter
+ — Baud Rate Generator
+ Full-Duplex Programma Serial Interface with
+ — Framing Error Detection
+ — Automatic Address Recognition
+ 6 InterruptSources
+ Enhanced Power Down Mode
+ Power Off Flag
+ ONCE Mode
+ </more><bits>
+ C903 B83F A8BF 878F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ SADEN SADDR RCAP2L RCAP2H TL2 TH2 T2MOD IPH FE
+
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Intel" name="80C32"
+ xdata="yes" xcode="yes"
+ code="0" frequency="0 to 12 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="6"
+ voltage=" " timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Timer 2
+ — CaptureTimer/Counter
+ — Up/Down Timer/Counter
+ — Baud Rate Generator
+ Full-Duplex Programma Serial Interface with
+ — Framing Error Detection
+ — Automatic Address Recognition
+ 6 InterruptSources
+ Enhanced Power Down Mode
+ Power Off Flag
+ ONCE Mode
+ </more><bits>
+ C903 B83F A8BF 878F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ SADEN SADDR RCAP2L RCAP2H TL2 TH2 T2MOD IPH FE
+
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Intel" name="80C54"
+ xdata="yes" xcode="yes"
+ code="16" frequency="0 to 12 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="6"
+ voltage=" " timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Timer 2
+ — CaptureTimer/Counter
+ — Up/Down Timer/Counter
+ — Baud Rate Generator
+ Full-Duplex Programma Serial Interface with
+ — Framing Error Detection
+ — Automatic Address Recognition
+ 6 InterruptSources
+ Enhanced Power Down Mode
+ Power Off Flag
+ ONCE Mode
+ </more><bits>
+ C903 B83F A8BF 878F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ SADEN SADDR RCAP2L RCAP2H TL2 TH2 T2MOD IPH FE
+
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Intel" name="87C54"
+ xdata="yes" xcode="yes"
+ code="16" frequency="0 to 12 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="6"
+ voltage=" " timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Timer 2
+ — CaptureTimer/Counter
+ — Up/Down Timer/Counter
+ — Baud Rate Generator
+ Full-Duplex Programma Serial Interface with
+ — Framing Error Detection
+ — Automatic Address Recognition
+ 6 InterruptSources
+ Enhanced Power Down Mode
+ Power Off Flag
+ ONCE Mode
+ </more><bits>
+ C903 B83F A8BF 878F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ SADEN SADDR RCAP2L RCAP2H TL2 TH2 T2MOD IPH FE
+
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Intel" name="80C58"
+ xdata="yes" xcode="yes"
+ code="32" frequency="0 to 12 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="6"
+ voltage=" " timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Timer 2
+ — CaptureTimer/Counter
+ — Up/Down Timer/Counter
+ — Baud Rate Generator
+ Full-Duplex Programma Serial Interface with
+ — Framing Error Detection
+ — Automatic Address Recognition
+ 6 InterruptSources
+ Enhanced Power Down Mode
+ Power Off Flag
+ ONCE Mode
+ </more><bits>
+ C903 B83F A8BF 878F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ SADEN SADDR RCAP2L RCAP2H TL2 TH2 T2MOD IPH FE
+
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Intel" name="87C58"
+ xdata="yes" xcode="yes"
+ code="32" frequency="0 to 12 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="6"
+ voltage=" " timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Timer 2
+ — CaptureTimer/Counter
+ — Up/Down Timer/Counter
+ — Baud Rate Generator
+ Full-Duplex Programma Serial Interface with
+ — Framing Error Detection
+ — Automatic Address Recognition
+ 6 InterruptSources
+ Enhanced Power Down Mode
+ Power Off Flag
+ ONCE Mode
+ </more><bits>
+ C903 B83F A8BF 878F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ SADEN SADDR RCAP2L RCAP2H TL2 TH2 T2MOD IPH FE
+
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="AT89C2051"
+ xdata="no" xcode="no"
+ code="2" frequency="0 to 24 MHz"
+ ram="128" portbits="15"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 6 V" timer2="no"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="no" port0=""
+ port1="11111111" port2=""
+ port3="11111101" port4=""
+ pof="no" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Two 16-bit</timers>
+ <more>
+ Direct LED Drive Outputs
+ On-chip Analog Comparator
+ Low-power Idle and Power-down Modes
+ Two-level Program Memory Lock
+ </more><bits>
+ 878F B81F A89F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P1 P3 SBUF ES PS
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="AT89C4051"
+ xdata="no" xcode="no"
+ code="4" frequency="0 to 24 MHz"
+ ram="128" portbits="15"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 6 V" timer2="no"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="no" port0=""
+ port1="11111111" port2=""
+ port3="11111101" port4=""
+ pof="no" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Two 16-bit</timers>
+ <more>
+ Direct LED Drive Outputs
+ On-chip Analog Comparator
+ Low-power Idle and Power-down Modes
+ Two-level Program Memory Lock
+ </more><bits>
+ 878F B81F A89F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P1 P3 SBUF ES PS
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="AT89C51"
+ xdata="yes" xcode="yes"
+ code="4" frequency="0 to 24 MHz"
+ ram="128" portbits="32"
+ uart="yes" interrupts="6"
+ voltage="max. 6.6 V" timer2="no"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="no" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Two 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ Low-power Idle and Power-down Modes
+ </more><bits>
+ 878F B81F A89F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="AT89C51RC"
+ xdata="yes" xcode="yes"
+ code="32" frequency="0 to 33 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="8"
+ voltage="4 to 5.5 V" timer2="yes"
+ watchdog="yes" eram="256"
+ dualdtpr="yes" auxr="yes"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="yes" auxrdisrto="yes">
+ <timers>Three 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ Low-power Idle and Power-down Modes
+ Interrupt Recovery from Power-down Mode
+ Hardware Watchdog Timer
+ Dual Data Pointer
+ Power-off Flag
+ </more><bits>
+ C903 B83F A8BF A201 8E1B 878F
+ </bits><writeonly>
+ A6
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD AUXR AUXR1 WDTRST RCAP2L RCAP2H TL2 TH2
+ DP0H DP0L DP1H DP1L
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="AT89C52"
+ xdata="yes" xcode="yes"
+ code="8" frequency="0 to 24 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="8"
+ voltage="max. 6.6 V" timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ Low-power Idle and Power-down Modes
+ </more><bits>
+ C903 B83F A8BF 878F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD RCAP2L RCAP2H TL2 TH2
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="AT89C55WD"
+ xdata="yes" xcode="yes"
+ code="20" frequency="0 to 33 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="8"
+ voltage="4 to 5.5 V" timer2="yes"
+ watchdog="yes" eram="256"
+ dualdtpr="yes" auxr="yes"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="yes" auxrdisrto="yes">
+ <timers>Three 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ Low-power Idle and Power-down Modes
+ Interrupt Recovery from Power-down Mode
+ Hardware Watchdog Timer
+ Dual Data Pointer
+ Power-off Flag
+ </more><bits>
+ C903 B83F A8BF A201
+ 8E1B 878F
+ </bits><writeonly>
+ A6
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD AUXR AUXR1 WDTRST RCAP2L RCAP2H TL2 TH2
+ DP0H DP0L DP1H DP1L
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="AT89LV51"
+ xdata="yes" xcode="yes"
+ code="4" frequency="0 to 12 MHz"
+ ram="128" portbits="32"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 6 V" timer2="no"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="no" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Two 16-bit</timers>
+ <more>
+ Three-Level Program Memory Lock
+ Low Power Idle and Power Down Modes
+ </more><bits>
+ C903 B83F A8BF 878F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="AT89LV52"
+ xdata="yes" xcode="yes"
+ code="8" frequency="0 to 12 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="8"
+ voltage="2.7 to 6" timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Three-Level Program Memory Lock
+ Low Power Idle and Power Down Modes
+ </more><bits>
+ C903 B83F A8BF 878F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2 T2MOD
+ RCAP2L RCAP2H TL2 TH2
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="AT89LV55"
+ xdata="yes" xcode="yes"
+ code="20" frequency="0 to 12 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="8"
+ voltage="2.7 to 6" timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ Low-power Idle and Power-down Modes
+ </more><bits>
+ C903 B83F A8BF 878F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD RCAP2L RCAP2H TL2 TH2
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="AT89S52"
+ xdata="yes" xcode="yes"
+ code="8" frequency="0 to 33 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="8"
+ voltage="4 to 5.5 V" timer2="yes"
+ watchdog="yes" eram="0"
+ dualdtpr="yes" auxr="yes"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="yes" auxrdisrto="yes">
+ <timers>Three 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ Low-power Idle and Power-down Modes
+ Interrupt Recovery from Power-down Mode
+ Watchdog Timer
+ Dual Data Pointer
+ Power-off Flag
+ Fast Programming Time
+ Flexible ISP Programming (Byte and Page Mode)
+ </more><bits>
+ C903 B83F A8BF A201 8E19 878F
+ </bits><writeonly>
+ A6
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD AUXR AUXR1 WDTRST RCAP2L RCAP2H TL2 TH2
+ DP0H DP0L DP1H DP1L
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="AT89LS51"
+ xdata="yes" xcode="yes"
+ code="4" frequency="0 to 16 MHz"
+ ram="128" portbits="32"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 4" timer2="no"
+ watchdog="yes" eram="0"
+ dualdtpr="yes" auxr="yes"
+ t2mod="no" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="yes" auxrdisrto="yes">
+ <timers>Two 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ Low-power Idle and Power-down Modes
+ Interrupt Recovery from Power-down Mode
+ Watchdog Timer
+ Dual Data Pointer
+ Power-off Flag
+ Flexible ISP Programming (Byte and Page Mode)
+ </more><bits>
+ B83F A8BF A201 8E19 878F
+ </bits><writeonly>
+ A6
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS
+ DP0H DP0L DP1H DP1L AUXR AUXR1 WDTRST
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="AT89LS52"
+ xdata="yes" xcode="yes"
+ code="8" frequency="0 to 16 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="8"
+ voltage="2.7 to 4" timer2="yes"
+ watchdog="yes" eram="0"
+ dualdtpr="yes" auxr="yes"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="no" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="no"
+ iph="no" acomparator="no"
+ euart="no" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="yes" auxrdisrto="yes">
+ <timers>Three 16-bit</timers>
+ <more>
+ Three-level Program Memory Lock
+ Low-power Idle and Power-down Modes
+ Interrupt Recovery from Power-down Mode
+ Watchdog Timer
+ Dual Data Pointer
+ Power-off Flag
+ Flexible ISP Programming (Byte and Page Modes)
+ </more><bits>
+ C903 B83F A8BF A201 8E19 878F
+ </bits><writeonly>
+ A6
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD AUXR AUXR1 WDTRST RCAP2L RCAP2H TL2 TH2
+ DP0H DP0L DP1H DP1L
+ SCON SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="AT89S2051"
+ xdata="no" xcode="no"
+ code="2" frequency="0 to 24 MHz"
+ ram="256" portbits="15"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 5.5V" timer2="no"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="no" port0=""
+ port1="11111111" port2=""
+ port3="11111101" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="yes"
+ euart="yes" clkreg="yes"
+ pwdex="yes" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="yes"
+ x2reset="yes" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Two 16-bit</timers>
+ <more>
+ Two-level Program Memory Lock
+ Direct LED Drive Outputs
+ On-chip Analog Comparator with Selectable Interrupt
+ 8-bit PWM (Pulse-width Modulation)
+ Low Power Idle and Power-down Modes
+ Brownout Reset
+ Enhanced UART Serial Port with Framing Error Detection and Automatic
+ Address Recognition
+ Internal Power-on Reset
+ Interrupt Recovery from Power-down Mode
+ Programmable and Fuseable x2 Clock Option
+ Four-level Enhanced Interrupt Controller
+ Power-off Flag
+ Flexible Programming (Byte and Page Modes)
+ User Serviceable Signature Page (32 Bytes)
+ </more><bits>
+ 8F03 971F A8DF B75F B85F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P1 P3 IPH ACSR CLKREG SADEN SADDR SBUF
+ EC PC ES PS FE
+ SCON FE SM0 SM1 SM2 REN TB8 RB8 TI RI
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="AT89S4051"
+ xdata="no" xcode="no"
+ code="4" frequency="0 to 24 MHz"
+ ram="256" portbits="15"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 5.5V" timer2="no"
+ watchdog="no" eram="0"
+ dualdtpr="no" auxr="no"
+ t2mod="no" port0=""
+ port1="11111111" port2=""
+ port3="11111101" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="yes"
+ euart="yes" clkreg="yes"
+ pwdex="yes" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="yes"
+ x2reset="yes" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Two 16-bit</timers>
+ <more>
+ Two-level Program Memory Lock
+ Direct LED Drive Outputs
+ On-chip Analog Comparator with Selectable Interrupt
+ 8-bit PWM (Pulse-width Modulation)
+ Low Power Idle and Power-down Modes
+ Brownout Reset
+ Enhanced UART Serial Port with Framing Error Detection and Automatic
+ Address Recognition
+ Internal Power-on Reset
+ Interrupt Recovery from Power-down Mode
+ Programmable and Fuseable x2 Clock Option
+ Four-level Enhanced Interrupt Controller
+ Power-off Flag
+ Flexible Programming (Byte and Page Modes)
+ User Serviceable Signature Page (32 Bytes)
+ </more><bits>
+ 8F03 971F A8DF B75F B85F
+ </bits><writeonly>
+ </writeonly><sfr>
+ P1 P3 IPH ACSR CLKREG SADEN SADDR SBUF
+ EC PC ES PS FE
+ SCON FE SM0 SM1 SM2 REN TB8 RB8 TI RI
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="AT89S8253"
+ xdata="yes" xcode="yes"
+ code="12" frequency="0 to 24 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="9"
+ voltage="2.7 to 5.5V" timer2="yes"
+ watchdog="yes" eram="0"
+ dualdtpr="yes" auxr="yes"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="yes"
+ pwdex="no" spi="yes"
+ wdtcon="yes" eeprom="2048"
+ intelpe="yes" pwm="no"
+ x2reset="no" ckcon="no"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="no"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ 2K Bytes EEPROM Data Memory
+ 64-byte User Signature Array
+ Three-level Program Memory Lock
+ Enhanced UART Serial Port with Framing Error Detection and Automatic Address Recognition
+ Enhanced SPI (Double Write/Read Buffered) Serial Interface
+ Low-power Idle and Power-down Modes
+ Interrupt Recovery from Power-down Mode
+ Programmable Watchdog Timer
+ Dual Data Pointer
+ Power-off Flag
+ Flexible ISP Programming (Byte and Page Modes)
+ Four-level Enhanced Interrupt Controller
+ Programmable and Fuseable x2 Clock Option
+ Internal Power-on Reset
+ 42-pin PDIP Package Option for Reduced EMC Emission
+ </more><bits>
+ 87DF 8E01 8F01 963F AAE3 B73F C903
+ </bits><writeonly>
+ A6
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD IPH SADEN SADDR SPSD AUXR WDTRST EECON SPCR
+ SPSR WDTCON CLKREG RCAP2L RCAP2H TL2 TH2 SPDR FE
+ DP0H DP0L DP1H DP1L
+ SCON FE SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="T87C5101"
+ xdata="no" xcode="no"
+ code="16" frequency="0 to 66 MHz"
+ ram="256" portbits="16"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 5.5V" timer2="yes"
+ watchdog="no" eram="256"
+ dualdtpr="yes" auxr="yes"
+ t2mod="yes" port0=""
+ port1="11111111" port2=""
+ port3="11111100" port4="00000011"
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="yes"
+ auxr1gf3="yes" ao="yes"
+ wdtprg="no" hddptr="yes"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Program memory: EEPROM
+ High-speed Architecture
+ Dual Data Pointer
+ On-chip eXpanded RAM (256 bytes)
+ Programmable Clock Out and Up/Down Timer/Counter 2
+ Asynchronous Port Reset
+ 4-Level Priority Interrupt System
+ Full-duplex Enhanced UART
+ Low EMI (no ALE)
+ Idle Mode
+ Power-down Mode
+ </more><bits>
+ C903 C03F B83F B73F A8BF A209 8E03 8F01 87DF
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P3 SBUF ES PS ET2 PT2
+ T2MOD SADEN SADDR AUXR AUXR1 IPH CKCON FE
+ RCAP2L RCAP2H TL2 TH2 DP0H DP0L DP1H DP1L
+ SCON FE SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="T83C5101"
+ xdata="no" xcode="no"
+ code="8" frequency="0 to 66 MHz"
+ ram="256" portbits="16"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 5.5V" timer2="yes"
+ watchdog="no" eram="256"
+ dualdtpr="yes" auxr="yes"
+ t2mod="yes" port0=""
+ port1="11111111" port2=""
+ port3="11111100" port4="00000011"
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="yes"
+ auxr1gf3="yes" ao="yes"
+ wdtprg="no" hddptr="yes"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Program memory: ROM
+ High-speed Architecture
+ Dual Data Pointer
+ On-chip eXpanded RAM (256 bytes)
+ Programmable Clock Out and Up/Down Timer/Counter 2
+ Asynchronous Port Reset
+ 4-Level Priority Interrupt System
+ Full-duplex Enhanced UART
+ Low EMI (no ALE)
+ Idle Mode
+ Power-down Mode
+ </more><bits>
+ C903 C03F B83F B73F A8BF A209 8E03 8F01 87DF
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD SADEN SADDR AUXR AUXR1 IPH CKCON FE
+ RCAP2L RCAP2H TL2 TH2
+ SCON FE SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="T83C5102"
+ xdata="no" xcode="no"
+ code="8" frequency="0 to 66 MHz"
+ ram="256" portbits="16"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 5.5V" timer2="yes"
+ watchdog="no" eram="256"
+ dualdtpr="yes" auxr="yes"
+ t2mod="yes" port0=""
+ port1="11111111" port2=""
+ port3="11111100" port4="00000011"
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="yes"
+ auxr1gf3="yes" ao="yes"
+ wdtprg="no" hddptr="yes"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Program memory: ROM
+ High-speed Architecture
+ Dual Data Pointer
+ On-chip eXpanded RAM (256 bytes)
+ Programmable Clock Out and Up/Down Timer/Counter 2
+ Asynchronous Port Reset
+ 4-Level Priority Interrupt System
+ Full-duplex Enhanced UART
+ Low EMI (no ALE)
+ Idle Mode
+ Power-down Mode
+ </more><bits>
+ C903 C03F B83F B73F A8BF A209 8E03 8F01 87DF
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD SADEN SADDR AUXR AUXR1 IPH CKCON FE
+ RCAP2L RCAP2H TL2 TH2
+ SCON FE SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="80C32X2"
+ xdata="yes" xcode="yes"
+ code="0" frequency="20 - 60 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 5.5V" timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="yes" auxr="yes"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="yes"
+ auxr1gf3="yes" ao="yes"
+ wdtprg="no" hddptr="yes"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ ROMLess
+ High-speed Architecture
+ X2 Speed Improvement Capability
+ Dual Data Pointer
+ Programmable Clock Out and Up/Down Timer/Counter 2
+ Asynchronous Port Reset
+ 4 Level Priority Interrupt System
+ Full Duplex Enhanced UART
+ Low EMI (Inhibit ALE)
+ Idle Mode
+ Power-down Mode
+ Power-off Flag
+ Once Mode (On-chip Emulation)
+ </more><bits>
+ C903 B83F B73F A8BF A209 8E01 8F01 87DF
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD SADEN SADDR AUXR AUXR1 IPH CKCON FE
+ RCAP2L RCAP2H TL2 TH2
+ SCON FE SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="80C52X2"
+ xdata="yes" xcode="yes"
+ code="8" frequency="20 - 60 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 5.5V" timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="yes" auxr="yes"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="yes"
+ auxr1gf3="yes" ao="yes"
+ wdtprg="no" hddptr="yes"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Program memory: ROM
+ High-speed Architecture
+ X2 Speed Improvement Capability
+ Dual Data Pointer
+ Programmable Clock Out and Up/Down Timer/Counter 2
+ Asynchronous Port Reset
+ 4 Level Priority Interrupt System
+ Full Duplex Enhanced UART
+ Low EMI (Inhibit ALE)
+ Idle Mode
+ Power-down Mode
+ Power-off Flag
+ Once Mode (On-chip Emulation)
+ </more><bits>
+ C903 B83F B73F A8BF A209 8E01 8F01 87DF
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD SADEN SADDR AUXR AUXR1 IPH CKCON FE
+ RCAP2L RCAP2H TL2 TH2
+ SCON FE SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="87C52X2"
+ xdata="yes" xcode="yes"
+ code="8" frequency="20 - 60 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 5.5V" timer2="yes"
+ watchdog="no" eram="0"
+ dualdtpr="yes" auxr="yes"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="yes"
+ auxr1gf3="yes" ao="yes"
+ wdtprg="no" hddptr="yes"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Program memory: OTP
+ High-speed Architecture
+ X2 Speed Improvement Capability
+ Dual Data Pointer
+ Programmable Clock Out and Up/Down Timer/Counter 2
+ Asynchronous Port Reset
+ 4 Level Priority Interrupt System
+ Full Duplex Enhanced UART
+ Low EMI (Inhibit ALE)
+ Idle Mode
+ Power-down Mode
+ Power-off Flag
+ Once Mode (On-chip Emulation)
+ </more><bits>
+ C903 B83F B73F A8BF A209 8E01 8F01 87DF
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD SADEN SADDR AUXR AUXR1 IPH CKCON FE
+ RCAP2L RCAP2H TL2 TH2
+ SCON FE SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="80C31X2"
+ xdata="yes" xcode="yes"
+ code="0" frequency="20 - 40 MHz"
+ ram="128" portbits="32"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 5.5V" timer2="no"
+ watchdog="no" eram="0"
+ dualdtpr="yes" auxr="no"
+ t2mod="no" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="yes"
+ auxr1gf3="no" ao="no"
+ wdtprg="no" hddptr="yes"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Two 16-bit</timers>
+ <more>
+ ROMLess
+ High-Speed Architecture
+ X2 Speed Improvement capability
+ Dual Data Pointer
+ Asynchronous port reset
+ 4 priority level interrupt system
+ Full duplex Enhanced UART
+ Framing error detection
+ Automatic address recognition
+ Idle mode
+ Power-down mode
+ Power-off Flag
+ Once mode (On-chip Emulation)
+ </more><bits>
+ B81F B71F A89F A201 8E01 8F01 87DF
+ </bits><writeonly>
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS FE
+ SADEN SADDR AUXR1 IPH CKCON
+ SCON FE SM0 SM1 SM2 REN TB8 RB8 TI RI
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="80C54X2"
+ xdata="yes" xcode="yes"
+ code="16" frequency="20 - 60 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 5.5V" timer2="yes"
+ watchdog="yes" eram="0"
+ dualdtpr="yes" auxr="yes"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="yes"
+ auxr1gf3="yes" ao="yes"
+ wdtprg="yes" hddptr="yes"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Program memory: ROM
+ High-Speed Architecture
+ X2 Speed Improvement capability
+ Dual Data Pointer
+ Programmable Clock Out and Up/Down Timer/Counter 2
+ Hardware Watchdog Timer
+ Asynchronous port reset
+ 4 level priority interrupt system
+ Full duplex Enhanced UART
+ Framing error detection
+ Automatic address recognition
+ Low EMI (inhibit ALE)
+ Idle mode
+ Power-down mode
+ Power-off Flag
+ Once mode (On-chip Emulation)
+ </more><bits>
+ C903 B83F B73F A8BF A209 A707 8E01 8F01 87DF
+ </bits><writeonly>
+ A6
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD SADEN SADDR AUXR AUXR1 IPH CKCON WDTPRG WDTRST
+ RCAP2L RCAP2H TL2 TH2 FE
+ SCON FE SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="80C58X2"
+ xdata="yes" xcode="yes"
+ code="32" frequency="20 - 60 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 5.5V" timer2="yes"
+ watchdog="yes" eram="0"
+ dualdtpr="yes" auxr="yes"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="yes"
+ auxr1gf3="yes" ao="yes"
+ wdtprg="yes" hddptr="yes"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Program memory: ROM
+ High-Speed Architecture
+ X2 Speed Improvement capability
+ Dual Data Pointer
+ Programmable Clock Out and Up/Down Timer/Counter 2
+ Hardware Watchdog Timer
+ Asynchronous port reset
+ 4 level priority interrupt system
+ Full duplex Enhanced UART
+ Framing error detection
+ Automatic address recognition
+ Low EMI (inhibit ALE)
+ Idle mode
+ Power-down mode
+ Power-off Flag
+ Once mode (On-chip Emulation)
+ </more><bits>
+ C903 B83F B73F A8BF A209 A707 8E01 8F01 87DF
+ </bits><writeonly>
+ A6
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD SADEN SADDR AUXR AUXR1 IPH CKCON WDTPRG WDTRST
+ RCAP2L RCAP2H TL2 TH2 FE
+ SCON FE SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="87C54X2"
+ xdata="yes" xcode="yes"
+ code="16" frequency="20 - 60 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 5.5V" timer2="yes"
+ watchdog="yes" eram="0"
+ dualdtpr="yes" auxr="yes"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="yes"
+ auxr1gf3="yes" ao="yes"
+ wdtprg="yes" hddptr="yes"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Program memory: EPROM
+ High-Speed Architecture
+ X2 Speed Improvement capability
+ Dual Data Pointer
+ Programmable Clock Out and Up/Down Timer/Counter 2
+ Hardware Watchdog Timer
+ Asynchronous port reset
+ 4 level priority interrupt system
+ Full duplex Enhanced UART
+ Framing error detection
+ Automatic address recognition
+ Low EMI (inhibit ALE)
+ Idle mode
+ Power-down mode
+ Power-off Flag
+ Once mode (On-chip Emulation)
+ </more><bits>
+ C903 B83F B73F A8BF A209 A707 8E01 8F01 87DF
+ </bits><writeonly>
+ A6
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD SADEN SADDR AUXR AUXR1 IPH CKCON WDTPRG WDTRST
+ RCAP2L RCAP2H TL2 TH2 FE
+ SCON FE SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu><mcu
+ vendor="Atmel" name="87C58X2"
+ xdata="yes" xcode="yes"
+ code="32" frequency="20 - 60 MHz"
+ ram="256" portbits="32"
+ uart="yes" interrupts="6"
+ voltage="2.7 to 5.5V" timer2="yes"
+ watchdog="yes" eram="0"
+ dualdtpr="yes" auxr="yes"
+ t2mod="yes" port0="11111111"
+ port1="11111111" port2="11111111"
+ port3="11111111" port4=""
+ pof="yes" gf0="yes"
+ gf1="yes" pd="yes"
+ idl="yes" smod0="yes"
+ iph="yes" acomparator="no"
+ euart="yes" clkreg="no"
+ pwdex="no" spi="no"
+ wdtcon="no" eeprom="0"
+ intelpe="no" pwm="no"
+ x2reset="no" ckcon="yes"
+ auxr1gf3="yes" ao="yes"
+ wdtprg="yes" hddptr="yes"
+ auxrwdidle="no" auxrdisrto="no">
+ <timers>Three 16-bit</timers>
+ <more>
+ Program memory: EPROM
+ High-Speed Architecture
+ X2 Speed Improvement capability
+ Dual Data Pointer
+ Programmable Clock Out and Up/Down Timer/Counter 2
+ Hardware Watchdog Timer
+ Asynchronous port reset
+ 4 level priority interrupt system
+ Full duplex Enhanced UART
+ Framing error detection
+ Automatic address recognition
+ Low EMI (inhibit ALE)
+ Idle mode
+ Power-down mode
+ Power-off Flag
+ Once mode (On-chip Emulation)
+ </more><bits>
+ C903 B83F B73F A8BF A209 A707 8E01 8F01 87DF
+ </bits><writeonly>
+ A6
+ </writeonly><sfr>
+ P0 P1 P2 P3 SBUF ES PS ET2 PT2
+ T2MOD SADEN SADDR AUXR AUXR1 IPH CKCON WDTPRG WDTRST
+ RCAP2L RCAP2H TL2 TH2 FE
+ SCON FE SM0 SM1 SM2 REN TB8 RB8 TI RI
+ T2CON TF2 EXF2 RCLK TCLK EXEN2 TR2 CT2 CPRL2
+ </sfr>
+ </mcu>
+</mcus>
diff --git a/data/project.dtd b/data/project.dtd
new file mode 100644
index 0000000..8663e17
--- /dev/null
+++ b/data/project.dtd
@@ -0,0 +1,220 @@
+<!-- Declare entities -->
+<!ENTITY quot "&#34;">
+<!ENTITY amp "&#38;">
+<!ENTITY lt "&#60;">
+<!ENTITY gt "&#62;">
+
+<!-- ROOT ELEMENT -->
+<!ELEMENT tk_mcuide_project (general, other_options, compiler_options, files)>
+<!-- Root element Parameters:
+ version - Project version (user defined)
+ date - Project last update (user defined)
+ creator_ver - MCU 8051 IDE version (hardcoded in program)
+-->
+<!ATTLIST tk_mcuide_project
+ version CDATA #IMPLIED
+ date CDATA #IMPLIED
+ creator_ver CDATA #IMPLIED
+>
+
+<!-- General information about the project -->
+<!ELEMENT general (authors, copyright, licence, processor, options, graph, description, todo, calculator)>
+
+<!-- List of project authors, one name per line -->
+<!ELEMENT authors (#PCDATA)>
+
+<!-- Copyrigh information -->
+<!ELEMENT copyright (#PCDATA)>
+
+<!-- Project licence -->
+<!ELEMENT licence (#PCDATA)>
+
+<!-- Processor type and configuration -->
+<!ELEMENT processor EMPTY>
+
+<!-- Parameters of tag "processor":
+ type - Processor type (e.g. AT89C51RC or 80C51)
+ clock - Clock frequency in kHz
+ xdata - Size of connected XDATA memory (0 means disconnected)
+ xcode - Size of connected XCODE memory (0 means disconnected)
+-->
+<!ATTLIST processor
+ type CDATA #IMPLIED
+ clock CDATA #IMPLIED
+ xdata CDATA #IMPLIED
+ xcode CDATA #IMPLIED
+>
+
+<!-- Various project options -->
+<!ELEMENT options EMPTY>
+
+<!-- Parameters of tag "options":
+ watches_file - Relative or absolute path to definition file of register watches
+ scheme - Relative or absolute path to scheme file
+ main_file - Main project source code file (e.g. main.c)
+ auto_sw_enabled - Automatic file switching during simulation locked
+-->
+<!ATTLIST options
+ watches_file CDATA #IMPLIED
+ scheme CDATA #IMPLIED
+ main_file CDATA #IMPLIED
+ auto_sw_enabled (0|1) #IMPLIED
+>
+
+<!-- Ports graph definition -->
+<!ELEMENT graph EMPTY>
+
+<!-- Parameters of tag "graph":
+ grid - Grid mode
+ magnification - Magnification level (must be an integer between 0 and 3)
+ enabled - Graph enable flag (Boolean value 0 or 1)
+ marks_s - List of state graph marks (String of zeros and ones, e.g. 00100110)
+ marks_l - List of laches graph marks (String of zeros and ones, e.g. 00100110)
+ marks_o - List of output graph marks (String of zeros and ones, e.g. 00100110)
+ active_page - Active page
+-->
+<!ATTLIST graph
+ grid (n|b|x|y) #IMPLIED
+ magnification (0|1|2|3) #IMPLIED
+ enabled (0|1) #IMPLIED
+ marks_s CDATA #IMPLIED
+ marks_l CDATA #IMPLIED
+ marks_o CDATA #IMPLIED
+ active_page CDATA #IMPLIED
+>
+
+<!-- Project description text (plain text only) -->
+<!ELEMENT description (#PCDATA)>
+
+<!-- Project to do list (SGML format) -->
+<!ELEMENT todo (#PCDATA)>
+
+<!-- Calculator configuration -->
+<!ELEMENT calculator EMPTY>
+
+<!-- Parameters of tag "calculator":
+ radix - Radix (one of {Dec Hex Bin Oct})
+ angle_unit - Angle unit (one of {deg rad grad})
+ display0 - Primary display
+ display1 - Opereator display
+ display2 - Secondary display
+ memory0 - Content of memory bank 0
+ memory1 - Content of memory bank 1
+ memory2 - Content of memory bank 2
+ freq - Timers preset calculator: Frequency
+ time - Timers preset calculator: Desired time
+ mode - Timers preset calculator: Timer mode (one of {0 1 2})
+-->
+<!ATTLIST calculator
+ radix (Dec|Hex|Bin|Oct) #IMPLIED
+ angle_unit (deg|rad|grad) #IMPLIED
+ display0 CDATA #IMPLIED
+ display1 CDATA #IMPLIED
+ display2 CDATA #IMPLIED
+ memory0 CDATA #IMPLIED
+ memory1 CDATA #IMPLIED
+ memory2 CDATA #IMPLIED
+ freq CDATA #IMPLIED
+ time CDATA #IMPLIED
+ mode (0|1|2) #IMPLIED
+>
+
+<!-- Other options (it can contain anything) -->
+<!ELEMENT other_options (#PCDATA)>
+
+<!-- Compiler options -->
+<!ELEMENT compiler_options (#PCDATA)>
+
+<!-- Project files -->
+<!ELEMENT files (file)*>
+
+<!-- Parameters of tag "files":
+ count - Number of project files
+ current_file - Current file in left/top view
+ current_file2 - Current file in right/bottom view (if it's less than zero then editor won't be splitted)
+ pwin_sash - Position of paned window sash (has meaning only if editor was splitted)
+ selected_view - Active view; 0 == left/top, 1 == right/bottom
+ pwin_orient - Orientation of paned window for multiview (one of {horizontal vertical})
+-->
+<!ATTLIST files
+ count CDATA #IMPLIED
+ current_file CDATA #IMPLIED
+ current_file2 CDATA #IMPLIED
+ pwin_sash CDATA #IMPLIED
+ selected_view (0|1) #IMPLIED
+ pwin_orient (horizontal|vertical) #IMPLIED
+>
+
+<!-- Project file description -->
+<!ELEMENT file (actual_line, md5_hash, path, bookmarks, breakpoints, eol, encoding, notes)>
+
+<!-- Parameters of tag "file":
+ name - File name without path
+ active - "yes" == opended; "no" == closed
+ o_bookmark - Bookmark in list of opened files
+ p_bookmark - Bookmark in list of project files
+ file_index - File index in the list
+ read_only - Read only flag
+ highlight - Syntax highlight
+-->
+<!ATTLIST file
+ name CDATA #IMPLIED
+ active (yes|no) #IMPLIED
+ o_bookmark (1|0) #IMPLIED
+ p_bookmark (1|0) #IMPLIED
+ file_index CDATA #IMPLIED
+ read_only (1|0) #IMPLIED
+ highlight CDATA #IMPLIED
+>
+
+<!-- Current line -->
+<!ELEMENT actual_line EMPTY>
+
+<!-- Parameters of tag "actual_line":
+ value - Current line in the file
+-->
+<!ATTLIST actual_line
+ value CDATA #IMPLIED
+>
+
+<!-- MD5 hash for the file -->
+<!ELEMENT md5_hash EMPTY>
+
+<!-- Parameters of tag "md5_hash":
+ value - Last MD5 hash
+-->
+<!ATTLIST md5_hash
+ value CDATA #IMPLIED
+>
+
+<!-- File path -->
+<!ELEMENT path (#PCDATA)>
+
+<!-- Bookmarks: string of zeros and ones -->
+<!ELEMENT bookmarks (#PCDATA)>
+
+<!-- Breakpoints: string of zeros and ones -->
+<!ELEMENT breakpoints (#PCDATA)>
+
+<!-- End Of Line character name -->
+<!ELEMENT eol EMPTY>
+
+<!-- Parameters of tag "eol":
+ value - EOL character (lf == "Line feed" 0x0A; cr == "Carriage return" 0x0D)
+-->
+<!ATTLIST eol
+ value (lf|cr|crlf) #IMPLIED
+>
+
+<!-- File encoding (we strongly recomend to use utf-8 only) -->
+<!ELEMENT encoding EMPTY>
+
+<!-- File notes -->
+<!ELEMENT notes (#PCDATA)>
+
+<!-- Parameters of tag "encoding":
+ value - Name of choosen encoding
+-->
+<!ATTLIST encoding
+ value CDATA #IMPLIED
+> \ No newline at end of file
diff --git a/data/tips.xml b/data/tips.xml
new file mode 100644
index 0000000..3fca882
--- /dev/null
+++ b/data/tips.xml
@@ -0,0 +1,191 @@
+<?xml version='1.0' encoding='utf-8'?>
+<!DOCTYPE tips [
+ <!-- ROOT ELEMENT -->
+ <!ELEMENT tips (tip)*>
+
+ <!-- Tip text (Text must be in CDATA section)
+ <b>Bold text</b> normal text
+ -->
+ <!ELEMENT tip EMPTY>
+
+ <!-- Parameters for tag "tip":
+ lang - Text language
+ -->
+ <!ATTLIST tip
+ lang CDATA #REQUIRED
+ >
+]>
+<tips>
+ <tip lang="en">
+ <![CDATA[
+ You can cycle through all opened documents by pressing <b>Alt+Left</b> or <b>Alt+Right</b>. The next/previous document will immediately be displayed in the active frame.
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ Graph (tab "IO Ports") significantly slows down MCU simulation ! It is a good to keep it off unless you need it.
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can repeat your last search by just pressing <b>F3</b>, or <b>Shift+F3</b> if you want to search backwards.
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can swap the characters on each side of the cursor just by pressing <b>Ctrl+T</b>
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can configure syntax highlighting in <b>Editor configuration dialog</b>.
+ <b>Configure</b> -> <b>Editor Configuration</b>
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can easily switch between tabs on Bottom and Right panel.
+ <b>Ctrl+1</b> -> <b>Simulator</b> (Bottom panel)
+ <b>Ctrl+2</b> -> <b>Graph</b> (Bottom panel)
+ <b>Ctrl+3</b> -> <b>Messages</b> (Bottom panel)
+ <b>Ctrl+4</b> -> <b>Todo</b> (Bottom panel)
+ <b>Ctrl+5</b> -> <b>Calculator</b> (Bottom panel)
+ <b>Ctrl+6</b> -> <b>Graph</b> (Bottom panel)
+ <b>Ctrl+7</b> -> <b>Bookmarks</b> (Right panel)
+ <b>Ctrl+8</b> -> <b>Breakpoints</b> (Right panel)
+ <b>Ctrl+9</b> -> <b>Register watches</b> (Right panel)
+ <b>Ctrl+0</b> -> <b>Instruction</b> (Right panel)
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ Sometimes you can make your work easier with editor command line. Inkove it by <b>F10</b> and type <b>help list</b> to get list of avaliable commands.
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ Use <b>quick search bars</b>. For instance you need to find a file in list of opened file. Write the name of that file to entrybox below the list and it's done.
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ Burn your MSC51 manual (or better colleague's manual). Tab <b>"Instruction details"</b> (<b>Ctrl+0</b>) in the right panel gives you a list of all possible operands for instruction on current line in the editor.
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can use function <b>Auto-indent</b> to make badly formated code more readable.
+
+ main: mov A, #55h
+ mov R0,#20h
+ movx @R0, A
+ sjmp main
+ <b>Tools</b> -> <b>Auto indent</b>
+ main: mov A, #55h
+ mov R0, #20h
+ movx @R0, A
+ sjmp main
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ Almost all shortcuts can be redefined in <b>Shortcuts configuration</b> dialog
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can edit content of external data memory and program memory with embedded hexadecimal editor. <b>Simulator</b> -> <b>Show ... memory</b>. So you can write programs directly in machine code (but is's better to use compiler).
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can quickly open files using <b>Filesystem browser</b> on left panel.
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ This program have also supprot for command line interaface (CLI). Run <b>mcu8051ide --help</b> to get list of possible options.
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ Sometimes you might need to run an external program (e.g program uploader). In MCU 8051 IDE it can be accomplished by <b>Custom commands</b> ( <b>Configure</b> -> <b>Custom commands</b> ).
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can export current document (assembly language source) as XHTML-1.1 or LaTeX.
+ <b>Tools</b> -> <b>Export as ...</b>
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can convert between Intel® HEX 8 and binary files.
+ <b>Tools</b> -> <b>... -> ...</b>
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ Right panel provides list of bookmarks and breakpoints defined in the editor.
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can use various encodings and EOLs (End Of Line).
+ <b>Tools</b> -> <b>Encoding/EOL</b>
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ <b>Register watches</b> can make your work much easier. You can find them in the Right panel. Enter hexadecimal address of register which you want to watch to entry box with label "Addr" and press Enter. (1 or 2 hexadecimal digits means <b>IDATA</b> and 3 or 4 digits means <b>XDATA</b>)
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can discuss this project at <b>http://mcu8051ide.sourceforge.net</b>.
+
+ If you do find a bug, please report it either via <b>http://sourceforge.net/tracker/?func=add&group_id=185864&atid=914981</b> or via mail <b>martin.osmera@gmail.com</b>.
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can customize compiler behavior in <b>Compiler config</b> dialog.
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can enable/disable <b>popup-based completion</b> in editor configuration dialog.
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can significantly improve simulator speed by:
+ <b>1)</b> Disabling <b>Step back function</b>
+ <b>2)</b> Disabling <b>Graph</b>
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ Editor can be splitted vertical or horizontal. It Right click on editor status bar and choose split.
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can step your program back, default key shortcut is: <b>Ctrl+F7</b>. Behavior of this capability can be modified in simulator configuration dialog.
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ MCU 8051 IDE can "hibernate" running program into a file. Later you can resume the hibernated program excatly from the same point where it was hibernated.
+ <b>Simulator</b> -> <b> Hibernate program </b>
+ <b>Simulator</b> -> <b> Resume hibernated program </b>
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ You can navigate simulator to certain line in your source code. Press <b>Ctrl+G</b> in simulator mode and choose line. Simulator will set PC (Program Counter) to address in program memory coresponding to your choosen line.
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ MCU 8051 IDE assembler can perform certain code optimalizations. They are enabled by default but you can disable the in compiler configuration dialog.
+
+ More about optimalizations:
+ LJMP code11 --> AJMP code11
+ LJMP code8 --> SJMP code11
+ LJMP code8 --> SJMP code8
+ AJMP code8 --> SJMP code8
+ LCALL code11 --> ACALL code11
+ MOV 224d, ... --> MOV A, ...
+ MOV ..., 224d --> MOV ..., A
+ SETB 215 --> SETB C
+ CLR 215 --> CLR C
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ Sometimes it is not easy to track subprograms and interrupts invocations. In this IDE you can track them quite easily usining "Interrupt monitor" (<b>Simulator -> Interrupt Monitor</b>) and "List of subprograms" (<b>Ctrl+0</b>).
+ ]]>
+ </tip><tip lang="en">
+ <![CDATA[
+ <b>Map of SFR</b> (<b>Simulator -> Map of SFR</b>) can provide you a transparent view of all special function registers avaliable on your choosen MCU.
+ ]]>
+ </tip>
+<!--
+ <tip lang="en">
+ <![CDATA[
+ ]]>
+ </tip>
+-->
+</tips>