summaryrefslogtreecommitdiff
path: root/man/capitalizeHeaders.hs
blob: 863381c1fde499db2b5faf6bbdf9bf94322eb5c4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20