#pragma once typedef enum { IDENT_NORMAL, IDENT_FULL } identify_mode;