summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorRuben Undheim <ruben.undheim@gmail.com>2016-05-14 15:47:00 +0200
committerRuben Undheim <ruben.undheim@gmail.com>2016-05-14 15:47:00 +0200
commitde7084c9b15747448bb72eb6dc7b84d89a76d9e2 (patch)
treef4383805cd43c244637f82b4c25bbb744fc09514
parent979df8e9ca858af8154068d33b8bc6eeba08761d (diff)
Exported patches from gdp-pq
-rw-r--r--debian/patches/01_gitrevision.patch24
-rw-r--r--debian/patches/02_removeabc.patch17
-rw-r--r--debian/patches/03_notruntests.patch16
-rw-r--r--debian/patches/05_abc_executable.patch21
-rw-r--r--debian/patches/add-missing-headers.patch15
-rw-r--r--debian/patches/fix_ftbfs_cannot_find_infinite.patch26
-rw-r--r--debian/patches/fixup-initalization.patch8
-rw-r--r--debian/patches/kfreebsd-support.patch98
-rw-r--r--debian/patches/manual-build.patch17
-rw-r--r--debian/patches/spelling-fixes.patch30
-rw-r--r--debian/patches/switch-to-free-font.patch52
11 files changed, 197 insertions, 127 deletions
diff --git a/debian/patches/01_gitrevision.patch b/debian/patches/01_gitrevision.patch
index 60dedc1e..13c4b254 100644
--- a/debian/patches/01_gitrevision.patch
+++ b/debian/patches/01_gitrevision.patch
@@ -1,16 +1,20 @@
From: Ruben Undheim <ruben.undheim@gmail.com>
-Subject: Compilation from the upstream code depends on being in
- the git repository to get the correct git-revision to be shown when
- running the program. This patch puts in the git revision from the
- correct upstream.
- It must be updated every time upstream changes!
+Date: Sat, 14 May 2016 15:44:12 +0200
+Subject: Compilation from the upstream code depends on being in the git
+ repository to get the correct git-revision to be shown when running the
+ program. This patch puts in the git revision from the correct upstream. It
+ must be updated every time upstream changes!
Forwarded: doesn't make sense upstream
-Index: yosys/Makefile
-===================================================================
---- yosys.orig/Makefile 2016-02-27 16:25:32.341035024 -0700
-+++ yosys/Makefile 2016-02-27 16:26:34.988423683 -0700
-@@ -69,7 +69,7 @@
+---
+ Makefile | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+diff --git a/Makefile b/Makefile
+index ce0c737..b8f4ffd 100644
+--- a/Makefile
++++ b/Makefile
+@@ -69,7 +69,7 @@ else
endif
YOSYS_VER := 0.6
diff --git a/debian/patches/02_removeabc.patch b/debian/patches/02_removeabc.patch
index 38c09b4b..2367c874 100644
--- a/debian/patches/02_removeabc.patch
+++ b/debian/patches/02_removeabc.patch
@@ -1,12 +1,17 @@
From: Ruben Undheim <ruben.undheim@gmail.com>
-Subject: Don't build abc when building yosys.
- It is built as a separate source package.
+Date: Sat, 14 May 2016 15:44:12 +0200
+Subject: Don't build abc when building yosys. It is built as a separate
+ source package.
Forwarded: doesn't make sense upstream
-Index: yosys/Makefile
-===================================================================
---- yosys.orig/Makefile
-+++ yosys/Makefile
+---
+ Makefile | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+diff --git a/Makefile b/Makefile
+index b8f4ffd..eeb6b91 100644
+--- a/Makefile
++++ b/Makefile
@@ -204,7 +204,7 @@ endif
ifeq ($(ENABLE_ABC),1)
diff --git a/debian/patches/03_notruntests.patch b/debian/patches/03_notruntests.patch
index e0f0e640..a240c4d9 100644
--- a/debian/patches/03_notruntests.patch
+++ b/debian/patches/03_notruntests.patch
@@ -1,12 +1,16 @@
From: Ruben Undheim <ruben.undheim@gmail.com>
-Subject: Do not run the long test suite when
- building for debian.
+Date: Sat, 14 May 2016 15:44:13 +0200
+Subject: Do not run the long test suite when building for debian.
Forwarded: doesn't make sense upstream
-Index: yosys/Makefile
-===================================================================
---- yosys.orig/Makefile
-+++ yosys/Makefile
+---
+ Makefile | 32 ++++++++++++++++----------------
+ 1 file changed, 16 insertions(+), 16 deletions(-)
+
+diff --git a/Makefile b/Makefile
+index eeb6b91..7b8f1e1 100644
+--- a/Makefile
++++ b/Makefile
@@ -377,21 +377,21 @@ endif
yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE)
$(P) cp abc/abc-$(ABCREV)$(EXE) yosys-abc$(EXE)
diff --git a/debian/patches/05_abc_executable.patch b/debian/patches/05_abc_executable.patch
index 8ebb6ae6..a8620843 100644
--- a/debian/patches/05_abc_executable.patch
+++ b/debian/patches/05_abc_executable.patch
@@ -1,14 +1,19 @@
From: Ruben Undheim <ruben.undheim@gmail.com>
-Subject: The dependency abc is built with the name yosys-abc
- upstream. Since it is available as a separate package independently
- of yosys, it is not called yosys-abc in debian.
- This patch changes the name of the command that yosys looks for.
+Date: Sat, 14 May 2016 15:44:13 +0200
+Subject: The dependency abc is built with the name yosys-abc upstream. Since
+ it is available as a separate package independently of yosys,
+ it is not called yosys-abc in debian. This patch changes the name of the
+ command that yosys looks for.
Forwarded: doesn't make sense upstream
-Index: yosys/passes/techmap/abc.cc
-===================================================================
---- yosys.orig/passes/techmap/abc.cc
-+++ yosys/passes/techmap/abc.cc
+---
+ passes/techmap/abc.cc | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+diff --git a/passes/techmap/abc.cc b/passes/techmap/abc.cc
+index 7da2660..8b35d43 100644
+--- a/passes/techmap/abc.cc
++++ b/passes/techmap/abc.cc
@@ -1289,7 +1289,7 @@ struct AbcPass : public Pass {
log_header("Executing ABC pass (technology mapping using ABC).\n");
log_push();
diff --git a/debian/patches/add-missing-headers.patch b/debian/patches/add-missing-headers.patch
index af3eebd1..36104fb1 100644
--- a/debian/patches/add-missing-headers.patch
+++ b/debian/patches/add-missing-headers.patch
@@ -1,10 +1,17 @@
From: Sebastian Kuzminsky <seb@highlab.com>
+Date: Sat, 14 May 2016 15:44:13 +0200
Subject: Include all headers needed to build the yosys plugins.
-Index: yosys/Makefile
+
===================================================================
---- yosys.orig/Makefile
-+++ yosys/Makefile
-@@ -268,6 +268,7 @@ $(eval $(call add_include_file,libs/ezsa
+---
+ Makefile | 1 +
+ 1 file changed, 1 insertion(+)
+
+diff --git a/Makefile b/Makefile
+index 7b8f1e1..c5d438b 100644
+--- a/Makefile
++++ b/Makefile
+@@ -268,6 +268,7 @@ $(eval $(call add_include_file,libs/ezsat/ezminisat.h))
$(eval $(call add_include_file,libs/sha1/sha1.h))
$(eval $(call add_include_file,passes/fsm/fsmdata.h))
$(eval $(call add_include_file,backends/ilang/ilang_backend.h))
diff --git a/debian/patches/fix_ftbfs_cannot_find_infinite.patch b/debian/patches/fix_ftbfs_cannot_find_infinite.patch
index b16380a0..698ea42d 100644
--- a/debian/patches/fix_ftbfs_cannot_find_infinite.patch
+++ b/debian/patches/fix_ftbfs_cannot_find_infinite.patch
@@ -1,14 +1,18 @@
From: Ruben Undheim <ruben.undheim@gmail.com>
-Subject: This patch fixes an FTBFS due to std::infinite not
- available
+Date: Sat, 14 May 2016 15:44:13 +0200
+Subject: This patch fixes an FTBFS due to std::infinite not available
Bug-Debian: https://bugs.debian.org/822408
+---
+ frontends/ast/ast.cc | 4 ----
+ passes/cmds/qwp.cc | 7 ++++---
+ 2 files changed, 4 insertions(+), 7 deletions(-)
-Index: yosys/frontends/ast/ast.cc
-===================================================================
---- yosys.orig/frontends/ast/ast.cc
-+++ yosys/frontends/ast/ast.cc
-@@ -857,11 +857,7 @@ RTLIL::Const AstNode::realAsConst(int wi
+diff --git a/frontends/ast/ast.cc b/frontends/ast/ast.cc
+index 834ee82..48bdfc8 100644
+--- a/frontends/ast/ast.cc
++++ b/frontends/ast/ast.cc
+@@ -857,11 +857,7 @@ RTLIL::Const AstNode::realAsConst(int width)
{
double v = round(realvalue);
RTLIL::Const result;
@@ -20,10 +24,10 @@ Index: yosys/frontends/ast/ast.cc
result.bits = std::vector<RTLIL::State>(width, RTLIL::State::Sx);
} else {
bool is_negative = v < 0;
-Index: yosys/passes/cmds/qwp.cc
-===================================================================
---- yosys.orig/passes/cmds/qwp.cc
-+++ yosys/passes/cmds/qwp.cc
+diff --git a/passes/cmds/qwp.cc b/passes/cmds/qwp.cc
+index 8ec815a..28f3e51 100644
+--- a/passes/cmds/qwp.cc
++++ b/passes/cmds/qwp.cc
@@ -19,6 +19,7 @@
#include "kernel/yosys.h"
diff --git a/debian/patches/fixup-initalization.patch b/debian/patches/fixup-initalization.patch
index 0cf84cae..84612c66 100644
--- a/debian/patches/fixup-initalization.patch
+++ b/debian/patches/fixup-initalization.patch
@@ -1,9 +1,13 @@
From: Clifford Wolf <clifford@clifford.at>
-Date: Fri Apr 22 12:13:06 2016 +0200
+Date: Fri, 22 Apr 2016 12:13:06 +0200
Subject: More flexible handling of initialization values
+---
+ passes/proc/proc_init.cc | 29 ++++++++++++++++++++++-------
+ 1 file changed, 22 insertions(+), 7 deletions(-)
+
diff --git a/passes/proc/proc_init.cc b/passes/proc/proc_init.cc
-index 523af0a..0c8fb83 100644
+index 633d4e5..f868daa 100644
--- a/passes/proc/proc_init.cc
+++ b/passes/proc/proc_init.cc
@@ -61,13 +61,28 @@ void proc_init(RTLIL::Module *mod, RTLIL::Process *proc)
diff --git a/debian/patches/kfreebsd-support.patch b/debian/patches/kfreebsd-support.patch
index 18e47cc9..49be947a 100644
--- a/debian/patches/kfreebsd-support.patch
+++ b/debian/patches/kfreebsd-support.patch
@@ -1,13 +1,25 @@
From: Sebastian Kuzminsky <seb@highlab.com>
-Subject: Change yosys to look for the #define constant "__unix__"
- instead of "__linux__", to select code appropriate for Unix-like
- systems. This has been tested with Clang 3.5 and GCC 4.6, 4.8, and
- 4.9 on Linux, and Clang 3.5 and GCC 4.9 on kFreeBSD.
+Date: Sat, 14 May 2016 15:44:13 +0200
+Subject: Change yosys to look for the #define constant "__unix__" instead of
+ "__linux__",
+ to select code appropriate for Unix-like systems. This has been tested with
+ Clang 3.5 and GCC 4.6, 4.8, and 4.9 on Linux,
+ and Clang 3.5 and GCC 4.9 on kFreeBSD.
-Index: yosys/kernel/driver.cc
-===================================================================
---- yosys.orig/kernel/driver.cc 2016-04-07 11:33:18.000000000 -0600
-+++ yosys/kernel/driver.cc 2016-04-07 11:35:04.000000000 -0600
+---
+ kernel/driver.cc | 6 +++---
+ kernel/log.cc | 6 +++---
+ kernel/log.h | 2 +-
+ kernel/yosys.cc | 4 ++--
+ libs/minisat/System.cc | 4 ++--
+ libs/minisat/System.h | 2 +-
+ passes/cmds/cover.cc | 2 +-
+ 7 files changed, 13 insertions(+), 13 deletions(-)
+
+diff --git a/kernel/driver.cc b/kernel/driver.cc
+index 02e332f..dacffb1 100644
+--- a/kernel/driver.cc
++++ b/kernel/driver.cc
@@ -30,7 +30,7 @@
#include <limits.h>
#include <errno.h>
@@ -17,7 +29,7 @@ Index: yosys/kernel/driver.cc
# include <sys/types.h>
# include <unistd.h>
#endif
-@@ -382,7 +382,7 @@
+@@ -382,7 +382,7 @@ int main(int argc, char **argv)
#else
std::string meminfo;
std::string stats_divider = ", ";
@@ -26,7 +38,7 @@ Index: yosys/kernel/driver.cc
std::ifstream statm;
statm.open(stringf("/proc/%lld/statm", (long long)getpid()));
if (statm.is_open()) {
-@@ -436,7 +436,7 @@
+@@ -436,7 +436,7 @@ int main(int argc, char **argv)
}
}
@@ -35,10 +47,10 @@ Index: yosys/kernel/driver.cc
if (getenv("YOSYS_COVER_DIR") || getenv("YOSYS_COVER_FILE"))
{
string filename;
-Index: yosys/kernel/log.cc
-===================================================================
---- yosys.orig/kernel/log.cc 2016-04-07 11:33:18.000000000 -0600
-+++ yosys/kernel/log.cc 2016-04-07 11:35:04.000000000 -0600
+diff --git a/kernel/log.cc b/kernel/log.cc
+index 4f395c7..8e1279f 100644
+--- a/kernel/log.cc
++++ b/kernel/log.cc
@@ -25,7 +25,7 @@
# include <sys/time.h>
#endif
@@ -48,7 +60,7 @@ Index: yosys/kernel/log.cc
# include <dlfcn.h>
#endif
-@@ -264,7 +264,7 @@
+@@ -264,7 +264,7 @@ void log_pop()
log_flush();
}
@@ -57,7 +69,7 @@ Index: yosys/kernel/log.cc
void log_backtrace(const char *prefix, int levels)
{
if (levels <= 0) return;
-@@ -423,7 +423,7 @@
+@@ -423,7 +423,7 @@ void log_cell(RTLIL::Cell *cell, std::string indent)
// ---------------------------------------------------
// This is the magic behind the code coverage counters
// ---------------------------------------------------
@@ -66,11 +78,11 @@ Index: yosys/kernel/log.cc
dict<std::string, std::pair<std::string, int>> extra_coverage_data;
-Index: yosys/kernel/log.h
-===================================================================
---- yosys.orig/kernel/log.h 2016-04-07 11:33:18.000000000 -0600
-+++ yosys/kernel/log.h 2016-04-07 11:35:04.000000000 -0600
-@@ -102,7 +102,7 @@
+diff --git a/kernel/log.h b/kernel/log.h
+index 28baf98..f2f3a5e 100644
+--- a/kernel/log.h
++++ b/kernel/log.h
+@@ -102,7 +102,7 @@ static inline void log_assert_worker(bool cond, const char *expr, const char *fi
// This is the magic behind the code coverage counters
// ---------------------------------------------------
@@ -79,11 +91,11 @@ Index: yosys/kernel/log.h
#define cover(_id) do { \
static CoverData __d __attribute__((section("yosys_cover_list"), aligned(1), used)) = { __FILE__, __FUNCTION__, _id, __LINE__, 0 }; \
-Index: yosys/kernel/yosys.cc
-===================================================================
---- yosys.orig/kernel/yosys.cc 2016-04-07 11:33:18.000000000 -0600
-+++ yosys/kernel/yosys.cc 2016-04-07 11:35:04.000000000 -0600
-@@ -64,7 +64,7 @@
+diff --git a/kernel/yosys.cc b/kernel/yosys.cc
+index eba1aef..cb14c93 100644
+--- a/kernel/yosys.cc
++++ b/kernel/yosys.cc
+@@ -64,7 +64,7 @@ std::vector<void*> memhasher_store;
void memhasher_on()
{
@@ -92,7 +104,7 @@ Index: yosys/kernel/yosys.cc
memhasher_rng += time(NULL) << 16 ^ getpid();
#endif
memhasher_store.resize(0x10000);
-@@ -622,7 +622,7 @@
+@@ -622,7 +622,7 @@ struct TclPass : public Pass {
} TclPass;
#endif
@@ -101,11 +113,11 @@ Index: yosys/kernel/yosys.cc
std::string proc_self_dirname()
{
char path[PATH_MAX];
-Index: yosys/libs/minisat/System.cc
-===================================================================
---- yosys.orig/libs/minisat/System.cc 2016-04-07 11:33:18.000000000 -0600
-+++ yosys/libs/minisat/System.cc 2016-04-07 11:35:04.000000000 -0600
-@@ -25,7 +25,7 @@
+diff --git a/libs/minisat/System.cc b/libs/minisat/System.cc
+index febe3b4..3df1810 100644
+--- a/libs/minisat/System.cc
++++ b/libs/minisat/System.cc
+@@ -25,7 +25,7 @@ OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWA
#include "System.h"
@@ -114,7 +126,7 @@ Index: yosys/libs/minisat/System.cc
#include <stdlib.h>
-@@ -99,7 +99,7 @@
+@@ -99,7 +99,7 @@ double Minisat::memUsedPeak(bool) { return 0; }
void Minisat::setX86FPUPrecision()
{
@@ -123,11 +135,11 @@ Index: yosys/libs/minisat/System.cc
// Only correct FPU precision on Linux architectures that needs and supports it:
fpu_control_t oldcw, newcw;
_FPU_GETCW(oldcw); newcw = (oldcw & ~_FPU_EXTENDED) | _FPU_DOUBLE; _FPU_SETCW(newcw);
-Index: yosys/libs/minisat/System.h
-===================================================================
---- yosys.orig/libs/minisat/System.h 2016-04-07 11:33:18.000000000 -0600
-+++ yosys/libs/minisat/System.h 2016-04-07 11:35:04.000000000 -0600
-@@ -21,7 +21,7 @@
+diff --git a/libs/minisat/System.h b/libs/minisat/System.h
+index ee92a6e..8ad9902 100644
+--- a/libs/minisat/System.h
++++ b/libs/minisat/System.h
+@@ -21,7 +21,7 @@ OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWA
#ifndef Minisat_System_h
#define Minisat_System_h
@@ -136,11 +148,11 @@ Index: yosys/libs/minisat/System.h
#include <fpu_control.h>
#endif
-Index: yosys/passes/cmds/cover.cc
-===================================================================
---- yosys.orig/passes/cmds/cover.cc 2016-04-07 11:33:18.000000000 -0600
-+++ yosys/passes/cmds/cover.cc 2016-04-07 11:35:04.000000000 -0600
-@@ -128,7 +128,7 @@
+diff --git a/passes/cmds/cover.cc b/passes/cmds/cover.cc
+index 5644066..e3910eb 100644
+--- a/passes/cmds/cover.cc
++++ b/passes/cmds/cover.cc
+@@ -128,7 +128,7 @@ struct CoverPass : public Pass {
log("\n");
}
diff --git a/debian/patches/manual-build.patch b/debian/patches/manual-build.patch
index ff390ff7..dd3d2030 100644
--- a/debian/patches/manual-build.patch
+++ b/debian/patches/manual-build.patch
@@ -1,10 +1,17 @@
From: Sebastian Kuzminsky <seb@highlab.com>
-Subject: build the manual using the headers from the source tree, not
- the installed ones.
-Index: yosys/manual/PRESENTATION_Prog/Makefile
+Date: Sat, 14 May 2016 15:44:13 +0200
+Subject: build the manual using the headers from the source tree,
+ not the installed ones.
+
===================================================================
---- yosys.orig/manual/PRESENTATION_Prog/Makefile 2016-02-28 22:25:29.557021857 -0700
-+++ yosys/manual/PRESENTATION_Prog/Makefile 2016-02-28 22:25:36.096960466 -0700
+---
+ manual/PRESENTATION_Prog/Makefile | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+diff --git a/manual/PRESENTATION_Prog/Makefile b/manual/PRESENTATION_Prog/Makefile
+index 794f5c1..319909c 100644
+--- a/manual/PRESENTATION_Prog/Makefile
++++ b/manual/PRESENTATION_Prog/Makefile
@@ -2,7 +2,7 @@
all: test0.log test1.log test2.log
diff --git a/debian/patches/spelling-fixes.patch b/debian/patches/spelling-fixes.patch
index 7110eee6..547f46e3 100644
--- a/debian/patches/spelling-fixes.patch
+++ b/debian/patches/spelling-fixes.patch
@@ -1,12 +1,18 @@
From: Sebastian Kuzminsky <seb@highlab.com>
+Date: Sat, 14 May 2016 15:44:13 +0200
Subject: Fix some spelling mistakes in upstream's strings.
Forwarded: accepted by upstream in PR #119
-Index: yosys/backends/json/json.cc
-===================================================================
---- yosys.orig/backends/json/json.cc 2016-02-24 15:41:34.500689004 -0700
-+++ yosys/backends/json/json.cc 2016-02-27 18:55:38.342523778 -0700
-@@ -411,10 +411,10 @@
+---
+ backends/json/json.cc | 6 +++---
+ manual/command-reference-manual.tex | 6 +++---
+ 2 files changed, 6 insertions(+), 6 deletions(-)
+
+diff --git a/backends/json/json.cc b/backends/json/json.cc
+index 9bc936a..7d5ee58 100644
+--- a/backends/json/json.cc
++++ b/backends/json/json.cc
+@@ -411,10 +411,10 @@ struct JsonBackend : public Backend {
log(" - the inverted value of the specified input port bit\n");
log("\n");
log(" [ \"and\", <node-index>, <node-index>, <out-list> ]\n");
@@ -19,7 +25,7 @@ Index: yosys/backends/json/json.cc
log("\n");
log(" [ \"true\", <out-list> ]\n");
log(" - the constant value 1\n");
-@@ -445,7 +445,7 @@
+@@ -445,7 +445,7 @@ struct JsonBackend : public Backend {
log(" ]\n");
log("\n");
log("Future version of Yosys might add support for additional fields in the JSON\n");
@@ -28,11 +34,11 @@ Index: yosys/backends/json/json.cc
log("\n");
}
virtual void execute(std::ostream *&f, std::string filename, std::vector<std::string> args, RTLIL::Design *design)
-Index: yosys/manual/command-reference-manual.tex
-===================================================================
---- yosys.orig/manual/command-reference-manual.tex 2016-02-27 16:24:11.177827043 -0700
-+++ yosys/manual/command-reference-manual.tex 2016-02-27 18:55:42.814481159 -0700
-@@ -3783,10 +3783,10 @@
+diff --git a/manual/command-reference-manual.tex b/manual/command-reference-manual.tex
+index 99d4a1f..425d89b 100644
+--- a/manual/command-reference-manual.tex
++++ b/manual/command-reference-manual.tex
+@@ -3783,10 +3783,10 @@ The following node-types may be used:
- the inverted value of the specified input port bit
[ "and", <node-index>, <node-index>, <out-list> ]
@@ -45,7 +51,7 @@ Index: yosys/manual/command-reference-manual.tex
[ "true", <out-list> ]
- the constant value 1
-@@ -3817,7 +3817,7 @@
+@@ -3817,7 +3817,7 @@ inferred by the following code:
]
Future version of Yosys might add support for additional fields in the JSON
diff --git a/debian/patches/switch-to-free-font.patch b/debian/patches/switch-to-free-font.patch
index c6faf245..62025b00 100644
--- a/debian/patches/switch-to-free-font.patch
+++ b/debian/patches/switch-to-free-font.patch
@@ -1,9 +1,21 @@
From: Sebastian Kuzminsky <seb@highlab.com>
-Subject: Switch the yosys manual font from luximono (non-free) to libertine (free)
-Index: yosys/manual/APPNOTE_010_Verilog_to_BLIF.tex
+Date: Sat, 14 May 2016 15:44:13 +0200
+Subject: Switch the yosys manual font from luximono (non-free) to libertine
+ (free)
+
===================================================================
---- yosys.orig/manual/APPNOTE_010_Verilog_to_BLIF.tex 2016-02-28 22:17:25.000000000 -0700
-+++ yosys/manual/APPNOTE_010_Verilog_to_BLIF.tex 2016-02-28 22:23:10.302328932 -0700
+---
+ manual/APPNOTE_010_Verilog_to_BLIF.tex | 2 +-
+ manual/APPNOTE_011_Design_Investigation.tex | 2 +-
+ manual/APPNOTE_012_Verilog_to_BTOR.tex | 2 +-
+ manual/manual.tex | 2 +-
+ manual/presentation.tex | 2 +-
+ 5 files changed, 5 insertions(+), 5 deletions(-)
+
+diff --git a/manual/APPNOTE_010_Verilog_to_BLIF.tex b/manual/APPNOTE_010_Verilog_to_BLIF.tex
+index 0ecdf61..fe0fbe6 100644
+--- a/manual/APPNOTE_010_Verilog_to_BLIF.tex
++++ b/manual/APPNOTE_010_Verilog_to_BLIF.tex
@@ -4,7 +4,7 @@
\documentclass[9pt,technote,a4paper]{IEEEtran}
@@ -13,10 +25,10 @@ Index: yosys/manual/APPNOTE_010_Verilog_to_BLIF.tex
% To install the luximono font files:
% getnonfreefonts-sys --all or
-Index: yosys/manual/APPNOTE_011_Design_Investigation.tex
-===================================================================
---- yosys.orig/manual/APPNOTE_011_Design_Investigation.tex 2016-02-28 22:17:25.000000000 -0700
-+++ yosys/manual/APPNOTE_011_Design_Investigation.tex 2016-02-28 22:23:10.302328932 -0700
+diff --git a/manual/APPNOTE_011_Design_Investigation.tex b/manual/APPNOTE_011_Design_Investigation.tex
+index 9780c78..7096d9c 100644
+--- a/manual/APPNOTE_011_Design_Investigation.tex
++++ b/manual/APPNOTE_011_Design_Investigation.tex
@@ -4,7 +4,7 @@
\documentclass[9pt,technote,a4paper]{IEEEtran}
@@ -26,10 +38,10 @@ Index: yosys/manual/APPNOTE_011_Design_Investigation.tex
% To install the luximono font files:
% getnonfreefonts-sys --all or
-Index: yosys/manual/APPNOTE_012_Verilog_to_BTOR.tex
-===================================================================
---- yosys.orig/manual/APPNOTE_012_Verilog_to_BTOR.tex 2016-02-28 22:17:25.000000000 -0700
-+++ yosys/manual/APPNOTE_012_Verilog_to_BTOR.tex 2016-02-28 22:23:10.302328932 -0700
+diff --git a/manual/APPNOTE_012_Verilog_to_BTOR.tex b/manual/APPNOTE_012_Verilog_to_BTOR.tex
+index 245a6b0..9d2e5db 100644
+--- a/manual/APPNOTE_012_Verilog_to_BTOR.tex
++++ b/manual/APPNOTE_012_Verilog_to_BTOR.tex
@@ -4,7 +4,7 @@
\documentclass[9pt,technote,a4paper]{IEEEtran}
@@ -39,10 +51,10 @@ Index: yosys/manual/APPNOTE_012_Verilog_to_BTOR.tex
% To install the luximono font files:
% getnonfreefonts-sys --all or
-Index: yosys/manual/manual.tex
-===================================================================
---- yosys.orig/manual/manual.tex 2016-02-28 22:17:25.000000000 -0700
-+++ yosys/manual/manual.tex 2016-02-28 22:23:10.306328895 -0700
+diff --git a/manual/manual.tex b/manual/manual.tex
+index ecc7e4c..f4e1eae 100644
+--- a/manual/manual.tex
++++ b/manual/manual.tex
@@ -2,7 +2,7 @@
\usepackage[T1]{fontenc} % required for luximono!
@@ -52,10 +64,10 @@ Index: yosys/manual/manual.tex
% To install the luximono font files:
% getnonfreefonts-sys --all or
-Index: yosys/manual/presentation.tex
-===================================================================
---- yosys.orig/manual/presentation.tex 2016-02-28 22:19:51.000000000 -0700
-+++ yosys/manual/presentation.tex 2016-02-28 22:23:10.306328895 -0700
+diff --git a/manual/presentation.tex b/manual/presentation.tex
+index 63b963b..54f24a9 100644
+--- a/manual/presentation.tex
++++ b/manual/presentation.tex
@@ -3,7 +3,7 @@
\usepackage[T1]{fontenc} % required for luximono!