summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2014-12-06 12:46:37 +0100
committerClifford Wolf <clifford@clifford.at>2014-12-06 12:46:37 +0100
commit78765e6a1cd63162ba2e66d3ce7ad765ca76fe2c (patch)
treeaf9f4c6f4f4fa7e863a88b61824dce13a680c7d4
parentabf81d76835d3ebb372ea128ee15cf6fb2c8f03a (diff)
parente65033e42137d351b6d948a402e968646432da6b (diff)
Merge pull request #43 from Martoni/master
suppressing semi-colon at the end of dot files
-rw-r--r--manual/APPNOTE_011_Design_Investigation/cmos_00.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/cmos_01.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/example_00.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/example_01.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/example_02.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/example_03.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/memdemo_00.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/memdemo_01.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/splice.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/submod_00.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/submod_01.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/submod_02.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/submod_03.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/sumprod_00.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/sumprod_01.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/sumprod_02.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/sumprod_03.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/sumprod_04.dot2
-rw-r--r--manual/APPNOTE_011_Design_Investigation/sumprod_05.dot2
19 files changed, 19 insertions, 19 deletions
diff --git a/manual/APPNOTE_011_Design_Investigation/cmos_00.dot b/manual/APPNOTE_011_Design_Investigation/cmos_00.dot
index 85ca7849..49c63008 100644
--- a/manual/APPNOTE_011_Design_Investigation/cmos_00.dot
+++ b/manual/APPNOTE_011_Design_Investigation/cmos_00.dot
@@ -31,4 +31,4 @@ n5:e -> c11:p7:w [color="black", label=""];
n6:e -> x0:s0:w [color="black", label=""];
n6:e -> x1:s0:w [color="black", label=""];
n6:e -> x2:s0:w [color="black", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/cmos_01.dot b/manual/APPNOTE_011_Design_Investigation/cmos_01.dot
index de9af170..ea6f4403 100644
--- a/manual/APPNOTE_011_Design_Investigation/cmos_01.dot
+++ b/manual/APPNOTE_011_Design_Investigation/cmos_01.dot
@@ -20,4 +20,4 @@ n5:e -> c12:p8:w [color="black", label=""];
c15:p10:e -> n6:w [color="black", label=""];
c14:p10:e -> n7:w [color="black", label=""];
n7:e -> c15:p9:w [color="black", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/example_00.dot b/manual/APPNOTE_011_Design_Investigation/example_00.dot
index b38862c4..1e23ed0e 100644
--- a/manual/APPNOTE_011_Design_Investigation/example_00.dot
+++ b/manual/APPNOTE_011_Design_Investigation/example_00.dot
@@ -20,4 +20,4 @@ n7:e -> p1:w [color="black", label=""];
p1:e -> n8:w [color="black", style="setlinewidth(3)", label=""];
n8:e -> p1:w [color="black", style="setlinewidth(3)", label=""];
v0:e -> c14:p9:w [color="black", style="setlinewidth(3)", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/example_01.dot b/manual/APPNOTE_011_Design_Investigation/example_01.dot
index e2e3f02d..e89292b5 100644
--- a/manual/APPNOTE_011_Design_Investigation/example_01.dot
+++ b/manual/APPNOTE_011_Design_Investigation/example_01.dot
@@ -30,4 +30,4 @@ n8:e -> c21:p19:w [color="black", label=""];
n8:e -> x1:w:w [color="black", label=""];
n9:e -> c18:p15:w [color="black", label=""];
v0:e -> c21:p11:w [color="black", style="setlinewidth(3)", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/example_02.dot b/manual/APPNOTE_011_Design_Investigation/example_02.dot
index 4b57f89c..f950ed2e 100644
--- a/manual/APPNOTE_011_Design_Investigation/example_02.dot
+++ b/manual/APPNOTE_011_Design_Investigation/example_02.dot
@@ -17,4 +17,4 @@ n5:e -> c17:p16:w [color="black", label=""];
n6:e -> c15:p12:w [color="black", label=""];
c15:p14:e -> n7:w [color="black", style="setlinewidth(3)", label=""];
n7:e -> c17:p8:w [color="black", style="setlinewidth(3)", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/example_03.dot b/manual/APPNOTE_011_Design_Investigation/example_03.dot
index 6c00c29a..e19d24af 100644
--- a/manual/APPNOTE_011_Design_Investigation/example_03.dot
+++ b/manual/APPNOTE_011_Design_Investigation/example_03.dot
@@ -8,4 +8,4 @@ c4 [ shape=record, label="{{<p1> A|<p2> B}|$2\n$add|{<p3> Y}}" ];
v0:e -> c4:p1:w [color="black", label=""];
v1:e -> c4:p2:w [color="black", label=""];
c4:p3:e -> v2:w [color="black", style="setlinewidth(3)", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/memdemo_00.dot b/manual/APPNOTE_011_Design_Investigation/memdemo_00.dot
index 9e81edbc..0336a9aa 100644
--- a/manual/APPNOTE_011_Design_Investigation/memdemo_00.dot
+++ b/manual/APPNOTE_011_Design_Investigation/memdemo_00.dot
@@ -135,4 +135,4 @@ v6:e -> c47:p34:w [color="black", label=""];
v7:e -> c48:p33:w [color="black", style="setlinewidth(3)", label=""];
v8:e -> c49:p33:w [color="black", style="setlinewidth(3)", label=""];
v9:e -> c50:p33:w [color="black", style="setlinewidth(3)", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/memdemo_01.dot b/manual/APPNOTE_011_Design_Investigation/memdemo_01.dot
index bbd7fcc6..2ad92c78 100644
--- a/manual/APPNOTE_011_Design_Investigation/memdemo_01.dot
+++ b/manual/APPNOTE_011_Design_Investigation/memdemo_01.dot
@@ -26,4 +26,4 @@ v0:e -> c13:p11:w [color="black", label=""];
v1:e -> c14:p11:w [color="black", label=""];
v2:e -> c15:p11:w [color="black", label=""];
v3:e -> c19:p16:w [color="black", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/splice.dot b/manual/APPNOTE_011_Design_Investigation/splice.dot
index 1bcd55b4..4657feed 100644
--- a/manual/APPNOTE_011_Design_Investigation/splice.dot
+++ b/manual/APPNOTE_011_Design_Investigation/splice.dot
@@ -36,4 +36,4 @@ x1:s0:e -> n8:w [color="black", style="setlinewidth(3)", label=""];
x3:s0:e -> n8:w [color="black", style="setlinewidth(3)", label=""];
x3:s1:e -> n8:w [color="black", style="setlinewidth(3)", label=""];
x6:s0:e -> n8:w [color="black", style="setlinewidth(3)", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/submod_00.dot b/manual/APPNOTE_011_Design_Investigation/submod_00.dot
index 4567dfb4..2e55268e 100644
--- a/manual/APPNOTE_011_Design_Investigation/submod_00.dot
+++ b/manual/APPNOTE_011_Design_Investigation/submod_00.dot
@@ -42,4 +42,4 @@ c21:p8:e -> n8:w [color="black", style="setlinewidth(3)", label=""];
n8:e -> c20:p8:w [color="black", style="setlinewidth(3)", label=""];
c21:p9:e -> n9:w [color="black", style="setlinewidth(3)", label=""];
n9:e -> c20:p9:w [color="black", style="setlinewidth(3)", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/submod_01.dot b/manual/APPNOTE_011_Design_Investigation/submod_01.dot
index b1daae23..f8f8c008 100644
--- a/manual/APPNOTE_011_Design_Investigation/submod_01.dot
+++ b/manual/APPNOTE_011_Design_Investigation/submod_01.dot
@@ -84,4 +84,4 @@ v4:e -> c35:p24:w [color="black", style="setlinewidth(3)", label=""];
v5:e -> c36:p24:w [color="black", style="setlinewidth(3)", label=""];
v6:e -> c37:p24:w [color="black", style="setlinewidth(3)", label=""];
v7:e -> c38:p24:w [color="black", style="setlinewidth(3)", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/submod_02.dot b/manual/APPNOTE_011_Design_Investigation/submod_02.dot
index 68266db9..1a672c48 100644
--- a/manual/APPNOTE_011_Design_Investigation/submod_02.dot
+++ b/manual/APPNOTE_011_Design_Investigation/submod_02.dot
@@ -30,4 +30,4 @@ n8:e -> c17:p12:w [color="black", style="setlinewidth(3)", label=""];
n9:e -> x0:s0:w [color="black", label=""];
n9:e -> x1:s0:w [color="black", label=""];
n9:e -> x2:s0:w [color="black", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/submod_03.dot b/manual/APPNOTE_011_Design_Investigation/submod_03.dot
index 92ef5759..0dbbe3ba 100644
--- a/manual/APPNOTE_011_Design_Investigation/submod_03.dot
+++ b/manual/APPNOTE_011_Design_Investigation/submod_03.dot
@@ -23,4 +23,4 @@ x1:s1:e -> n5:w [color="black", style="setlinewidth(3)", label=""];
n6:e -> x2:s1:w [color="black", style="setlinewidth(3)", label=""];
n7:e -> x2:s0:w [color="black", style="setlinewidth(3)", label=""];
v0:e -> c13:p8:w [color="black", style="setlinewidth(3)", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/sumprod_00.dot b/manual/APPNOTE_011_Design_Investigation/sumprod_00.dot
index 6f9b7d3d..06522dcc 100644
--- a/manual/APPNOTE_011_Design_Investigation/sumprod_00.dot
+++ b/manual/APPNOTE_011_Design_Investigation/sumprod_00.dot
@@ -15,4 +15,4 @@ c4:p3:e -> v2:w [color="black", style="setlinewidth(3)", label=""];
v3:e -> c5:p1:w [color="black", style="setlinewidth(3)", label=""];
v4:e -> c5:p2:w [color="black", style="setlinewidth(3)", label=""];
c5:p3:e -> v5:w [color="black", style="setlinewidth(3)", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/sumprod_01.dot b/manual/APPNOTE_011_Design_Investigation/sumprod_01.dot
index d0252270..aefe7a6d 100644
--- a/manual/APPNOTE_011_Design_Investigation/sumprod_01.dot
+++ b/manual/APPNOTE_011_Design_Investigation/sumprod_01.dot
@@ -12,4 +12,4 @@ n2:e -> c9:p6:w [color="black", style="setlinewidth(3)", label=""];
n3:e -> c9:p7:w [color="black", style="setlinewidth(3)", label=""];
n4:e -> c10:p7:w [color="black", style="setlinewidth(3)", label=""];
c10:p8:e -> n5:w [color="black", style="setlinewidth(3)", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/sumprod_02.dot b/manual/APPNOTE_011_Design_Investigation/sumprod_02.dot
index af87651b..4646c994 100644
--- a/manual/APPNOTE_011_Design_Investigation/sumprod_02.dot
+++ b/manual/APPNOTE_011_Design_Investigation/sumprod_02.dot
@@ -2,4 +2,4 @@ digraph "sumprod" {
rankdir="LR";
remincross=true;
n1 [ shape=octagon, label="prod", color="black", fontcolor="black" ];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/sumprod_03.dot b/manual/APPNOTE_011_Design_Investigation/sumprod_03.dot
index 21155135..dcfea2b5 100644
--- a/manual/APPNOTE_011_Design_Investigation/sumprod_03.dot
+++ b/manual/APPNOTE_011_Design_Investigation/sumprod_03.dot
@@ -8,4 +8,4 @@ c5 [ shape=record, label="{{<p2> A|<p3> B}|$4\n$mul|{<p4> Y}}" ];
c5:p4:e -> n1:w [color="black", style="setlinewidth(3)", label=""];
v0:e -> c5:p2:w [color="black", style="setlinewidth(3)", label=""];
v1:e -> c5:p3:w [color="black", style="setlinewidth(3)", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/sumprod_04.dot b/manual/APPNOTE_011_Design_Investigation/sumprod_04.dot
index 5223577e..e77c41aa 100644
--- a/manual/APPNOTE_011_Design_Investigation/sumprod_04.dot
+++ b/manual/APPNOTE_011_Design_Investigation/sumprod_04.dot
@@ -8,4 +8,4 @@ n1 [ shape=diamond, label="$3_Y" ];
n1:e -> c7:p4:w [color="black", style="setlinewidth(3)", label=""];
n2:e -> c7:p5:w [color="black", style="setlinewidth(3)", label=""];
c7:p6:e -> n3:w [color="black", style="setlinewidth(3)", label=""];
-};
+}
diff --git a/manual/APPNOTE_011_Design_Investigation/sumprod_05.dot b/manual/APPNOTE_011_Design_Investigation/sumprod_05.dot
index 45d00134..b5444129 100644
--- a/manual/APPNOTE_011_Design_Investigation/sumprod_05.dot
+++ b/manual/APPNOTE_011_Design_Investigation/sumprod_05.dot
@@ -12,4 +12,4 @@ n2:e -> c8:p5:w [color="black", style="setlinewidth(3)", label=""];
c8:p6:e -> n3:w [color="black", style="setlinewidth(3)", label=""];
v0:e -> c7:p4:w [color="black", style="setlinewidth(3)", label=""];
v1:e -> c7:p5:w [color="black", style="setlinewidth(3)", label=""];
-};
+}