summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2014-07-29 20:14:25 +0200
committerClifford Wolf <clifford@clifford.at>2014-07-29 20:14:25 +0200
commite605af8a4937533b35068071e14f5bd92c2e5b4f (patch)
treecd7348576a824d40b25a7891f0b3b0b339c49573
parent2145e57ef08784484e875e64cb43b6d1f4dbe50c (diff)
Fixed Verilog pre-processor for files with no trailing newline
-rw-r--r--frontends/verilog/preproc.cc2
1 files changed, 1 insertions, 1 deletions
diff --git a/frontends/verilog/preproc.cc b/frontends/verilog/preproc.cc
index 67b2ffa7..9ff68822 100644
--- a/frontends/verilog/preproc.cc
+++ b/frontends/verilog/preproc.cc
@@ -198,7 +198,7 @@ static void input_file(FILE *f, std::string filename)
buffer[rc] = 0;
input_buffer.insert(it, buffer);
}
- input_buffer.insert(it, "`file_pop\n");
+ input_buffer.insert(it, "\n`file_pop\n");
}
std::string frontend_verilog_preproc(FILE *f, std::string filename, const std::map<std::string, std::string> pre_defines_map, const std::list<std::string> include_dirs)