summaryrefslogtreecommitdiff
path: root/README
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-02-04 18:52:54 +0100
committerClifford Wolf <clifford@clifford.at>2015-02-04 18:52:54 +0100
commita038787c9b51e92440cac3a38801c08f66dbb3af (patch)
tree765adc2595cba45c51d689eadd271a17bce66401 /README
parent8805c24640d881ae9b29552fc860cff08f9adaff (diff)
Added onehot attribute
Diffstat (limited to 'README')
-rw-r--r--README3
1 files changed, 3 insertions, 0 deletions
diff --git a/README b/README
index 476e5ce5..fbd92db3 100644
--- a/README
+++ b/README
@@ -268,6 +268,9 @@ Verilog Attributes and non-standard features
temporary variable within an always block. This is mostly used internally
by yosys to synthesize verilog functions and access arrays.
+- The "onehot" attribute on wires mark them as onehot state register. This
+ is used for example for memory port sharing and set by the fsm_map pass.
+
- The "blackbox" attribute on modules is used to mark empty stub modules
that have the same ports as the real thing but do not contain information
on the internal configuration. This modules are only used by the synthesis