summaryrefslogtreecommitdiff
path: root/backends/simplec/test00_uut.v
diff options
context:
space:
mode:
authorRuben Undheim <ruben.undheim@gmail.com>2018-10-17 18:18:09 +0200
committerRuben Undheim <ruben.undheim@gmail.com>2018-10-17 18:18:46 +0200
commit21b42cdffcb5b24bf467ecf2b74adea47bbdc393 (patch)
tree5794a304e60e2060a34a6eaa076230c71de2001e /backends/simplec/test00_uut.v
parent42942203476b47ac8ec62671e4c133b7c7fceab3 (diff)
parent0b254e3191dbed4a29ee37c5ae7cfcf8d723fbb2 (diff)
Merge branch 'next'
Diffstat (limited to 'backends/simplec/test00_uut.v')
-rw-r--r--backends/simplec/test00_uut.v14
1 files changed, 14 insertions, 0 deletions
diff --git a/backends/simplec/test00_uut.v b/backends/simplec/test00_uut.v
new file mode 100644
index 00000000..744dbe9e
--- /dev/null
+++ b/backends/simplec/test00_uut.v
@@ -0,0 +1,14 @@
+module test(input [31:0] a, b, c, output [31:0] x, y, z, w);
+ unit_x unit_x_inst (.a(a), .b(b), .c(c), .x(x));
+ unit_y unit_y_inst (.a(a), .b(b), .c(c), .y(y));
+ assign z = a ^ b ^ c, w = z;
+endmodule
+
+module unit_x(input [31:0] a, b, c, output [31:0] x);
+ assign x = (a & b) | c;
+endmodule
+
+module unit_y(input [31:0] a, b, c, output [31:0] y);
+ assign y = a & (b | c);
+endmodule
+