summaryrefslogtreecommitdiff
path: root/frontends/ast
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2014-01-30 14:52:46 +0100
committerClifford Wolf <clifford@clifford.at>2014-01-30 15:01:28 +0100
commit4df7e03ec9eafb01e2237f307075ad8dd7b1da5a (patch)
tree197473eb2bad85ba11f6d28540c77570604cb275 /frontends/ast
parent672229eda5ba9768a0d67223a514d54dfef5534c (diff)
Bugfix in name resolution with generate blocks
Diffstat (limited to 'frontends/ast')
-rw-r--r--frontends/ast/simplify.cc2
1 files changed, 1 insertions, 1 deletions
diff --git a/frontends/ast/simplify.cc b/frontends/ast/simplify.cc
index bd5da14e..5a2d1ae6 100644
--- a/frontends/ast/simplify.cc
+++ b/frontends/ast/simplify.cc
@@ -1478,7 +1478,7 @@ void AstNode::expand_genblock(std::string index_var, std::string prefix, std::ma
for (size_t i = 0; i < children.size(); i++) {
AstNode *child = children[i];
- if (child->type != AST_FUNCTION && child->type != AST_TASK)
+ if (child->type != AST_FUNCTION && child->type != AST_TASK && child->type != AST_PREFIX)
child->expand_genblock(index_var, prefix, name_map);
}