summaryrefslogtreecommitdiff
path: root/techlibs/ice40/synth_ice40.cc
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-04-27 11:36:13 +0200
committerClifford Wolf <clifford@clifford.at>2015-04-27 11:36:13 +0200
commit9d067fecea8d17dc3e800d09973f5ddaae41774b (patch)
treea341d59d907b2207972c3fd40cb16a8ad990fa14 /techlibs/ice40/synth_ice40.cc
parent310fde197e14963cf591ccbefeef8b0ced1e1deb (diff)
ice40_opt bugfix
Diffstat (limited to 'techlibs/ice40/synth_ice40.cc')
-rw-r--r--techlibs/ice40/synth_ice40.cc4
1 files changed, 2 insertions, 2 deletions
diff --git a/techlibs/ice40/synth_ice40.cc b/techlibs/ice40/synth_ice40.cc
index 769addf1..e2329031 100644
--- a/techlibs/ice40/synth_ice40.cc
+++ b/techlibs/ice40/synth_ice40.cc
@@ -88,7 +88,7 @@ struct SynthIce40Pass : public Pass {
log(" opt -undriven -fine\n");
log(" techmap -map +/techmap.v [-map +/ice40/arith_map.v]\n");
log(" abc -dff (only if -retime)\n");
- log(" opt -fast\n");
+ log(" ice40_opt\n");
log("\n");
log(" map_ffs:\n");
log(" dff2dffe -direct-match $_DFF_*\n");
@@ -96,7 +96,7 @@ struct SynthIce40Pass : public Pass {
log(" opt_const -mux_undef\n");
log(" simplemap\n");
log(" ice40_ffssr\n");
- log(" clean\n");
+ log(" ice40_opt -full\n");
log("\n");
log(" map_luts:\n");
log(" abc -lut 4\n");