summaryrefslogtreecommitdiff
path: root/techlibs
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2014-09-08 16:59:39 +0200
committerClifford Wolf <clifford@clifford.at>2014-09-08 16:59:39 +0200
commitfcb46138cebd57587d35489cef3a3a48ebe40bcf (patch)
tree017526971d972de1ad8d17e4b0e2dd567e038007 /techlibs
parent6dc07eb1f23757b17b6d856c95d0901d751eeb25 (diff)
Simplified $fa undef model
Diffstat (limited to 'techlibs')
-rw-r--r--techlibs/common/simlib.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/techlibs/common/simlib.v b/techlibs/common/simlib.v
index da745b5e..dd12bd39 100644
--- a/techlibs/common/simlib.v
+++ b/techlibs/common/simlib.v
@@ -453,7 +453,7 @@ output [WIDTH-1:0] X, Y;
wire [WIDTH-1:0] t1, t2, t3;
assign t1 = A ^ B, t2 = A & B, t3 = C & t1;
-assign Y = t1 ^ C, X = t2 | t3;
+assign Y = t1 ^ C, X = (t2 | t3) ^ (Y ^ Y);
endmodule