summaryrefslogtreecommitdiff
path: root/techlibs
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-07-31 10:40:09 +0200
committerClifford Wolf <clifford@clifford.at>2015-07-31 10:40:09 +0200
commit8d6d5c30d9f39ce5b15d1bd3f3a528b38f2f9f9c (patch)
tree8bfd4d9dba657a0c461220838fbf919f45d236b3 /techlibs
parent3860c9a9f23104bd54e0000b74624e45c77a8ab3 (diff)
Added WORDS parameter to $meminit
Diffstat (limited to 'techlibs')
-rw-r--r--techlibs/common/simlib.v3
1 files changed, 2 insertions, 1 deletions
diff --git a/techlibs/common/simlib.v b/techlibs/common/simlib.v
index ddc7fe3b..9de71e6f 100644
--- a/techlibs/common/simlib.v
+++ b/techlibs/common/simlib.v
@@ -1536,11 +1536,12 @@ module \$meminit (ADDR, DATA);
parameter MEMID = "";
parameter ABITS = 8;
parameter WIDTH = 8;
+parameter WORDS = 1;
parameter PRIORITY = 0;
input [ABITS-1:0] ADDR;
-input [WIDTH-1:0] DATA;
+input [WORDS*WIDTH-1:0] DATA;
initial begin
if (MEMID != "") begin