summaryrefslogtreecommitdiff
path: root/tests/sat/initval.ys
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2014-07-05 11:17:40 +0200
committerClifford Wolf <clifford@clifford.at>2014-07-05 11:18:38 +0200
commit3b52121d328d45a5d4269fd0e8de9af948c0216e (patch)
tree59d61572353b98e449d72f4802c4e7c164f7b5eb /tests/sat/initval.ys
parentee8ad72fd950e1ee204e5c97155a50b8b1445dec (diff)
now ignore init attributes on non-register wires in sat command
Diffstat (limited to 'tests/sat/initval.ys')
-rw-r--r--tests/sat/initval.ys4
1 files changed, 4 insertions, 0 deletions
diff --git a/tests/sat/initval.ys b/tests/sat/initval.ys
new file mode 100644
index 00000000..2079d2f3
--- /dev/null
+++ b/tests/sat/initval.ys
@@ -0,0 +1,4 @@
+read_verilog -sv initval.v
+proc;;
+
+sat -seq 10 -prove-asserts