summaryrefslogtreecommitdiff
path: root/tests/various/attrib07_func_call.v
diff options
context:
space:
mode:
authorRuben Undheim <ruben.undheim@gmail.com>2019-10-18 19:56:55 +0000
committerRuben Undheim <ruben.undheim@gmail.com>2019-10-18 19:56:55 +0000
commitb9e09c69c0570486f3f8a73499049b636a4b4c34 (patch)
treee36d38108251cdbc8ed83721a9ae06da73cfd848 /tests/various/attrib07_func_call.v
parent3c2327501d79de3f007014c1fd8817c95c477aa4 (diff)
parent1f6bb85359149a016811e7e7fef980c3d45211e7 (diff)
Update upstream source from tag 'upstream/0.9'
Update to upstream version '0.9' with Debian dir df447efe4e1efaece1d926cd037de4a7c3c1bebe
Diffstat (limited to 'tests/various/attrib07_func_call.v')
-rw-r--r--tests/various/attrib07_func_call.v21
1 files changed, 21 insertions, 0 deletions
diff --git a/tests/various/attrib07_func_call.v b/tests/various/attrib07_func_call.v
new file mode 100644
index 00000000..f55ef231
--- /dev/null
+++ b/tests/various/attrib07_func_call.v
@@ -0,0 +1,21 @@
+function [7:0] do_add;
+ input [7:0] inp_a;
+ input [7:0] inp_b;
+
+ do_add = inp_a + inp_b;
+
+endfunction
+
+module foo(clk, rst, inp_a, inp_b, out);
+ input wire clk;
+ input wire rst;
+ input wire [7:0] inp_a;
+ input wire [7:0] inp_b;
+ output wire [7:0] out;
+
+ always @(posedge clk)
+ if (rst) out <= 0;
+ else out <= do_add (* combinational_adder *) (inp_a, inp_b);
+
+endmodule
+