summaryrefslogtreecommitdiff
path: root/tests/vloghtb/test_share.sh
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2014-07-21 14:08:13 +0200
committerClifford Wolf <clifford@clifford.at>2014-07-21 14:40:57 +0200
commit668306d00f47989d1f66d139351e63fe2465961c (patch)
tree178c4b43fdc732eb7e7de099afa23cfbb2717425 /tests/vloghtb/test_share.sh
parent550ac3587302c6c95892c66db2cfa3788b2b5c42 (diff)
Various improvements in test/vloghtb
Diffstat (limited to 'tests/vloghtb/test_share.sh')
-rw-r--r--tests/vloghtb/test_share.sh27
1 files changed, 1 insertions, 26 deletions
diff --git a/tests/vloghtb/test_share.sh b/tests/vloghtb/test_share.sh
index 88e04281..da221162 100644
--- a/tests/vloghtb/test_share.sh
+++ b/tests/vloghtb/test_share.sh
@@ -1,36 +1,11 @@
#!/bin/bash
set -e
-mkdir -p log_test_share
source common.sh
f=$1
n=$(basename ${f%.v})
-rm -f log_test_share/$n.txt
-rm -f log_test_share/$n.err
+test_equiv share "share -aggressive" "-ignore_div_by_zero" $n $f
-if ! ../../yosys -q -l log_test_share/$n.out - 2> /dev/null <<- EOT
- read_verilog $f
- proc;;
-
- copy $n gold
- rename $n work
-
- cd work
- share -aggressive
- cd ..
-
- miter -equiv -flatten -ignore_gold_x -make_outputs -make_outcmp gold work miter
- sat -set-def-inputs -verify -prove trigger 0 -show-inputs -show-outputs miter
-EOT
-then
- log_fail test_share $n
- mv log_test_share/$n.out log_test_share/$n.err
- exit 1
-fi
-
-log_pass test_share $n
-mv log_test_share/$n.out log_test_share/$n.txt
exit 0
-