summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--backends/autotest/Makefile.inc3
-rw-r--r--kernel/register.cc4
-rw-r--r--passes/tests/Makefile.inc3
-rw-r--r--passes/tests/test_autotb.cc (renamed from backends/autotest/autotest.cc)10
-rwxr-xr-xtests/tools/autotest.sh2
5 files changed, 12 insertions, 10 deletions
diff --git a/backends/autotest/Makefile.inc b/backends/autotest/Makefile.inc
deleted file mode 100644
index 9308dcd4..00000000
--- a/backends/autotest/Makefile.inc
+++ /dev/null
@@ -1,3 +0,0 @@
-
-OBJS += backends/autotest/autotest.o
-
diff --git a/kernel/register.cc b/kernel/register.cc
index da356983..4569481f 100644
--- a/kernel/register.cc
+++ b/kernel/register.cc
@@ -376,7 +376,9 @@ void Frontend::frontend_call(RTLIL::Design *design, FILE *f, std::string filenam
design->check();
}
-Backend::Backend(std::string name, std::string short_help) : Pass("write_"+name, short_help), backend_name(name)
+Backend::Backend(std::string name, std::string short_help) :
+ Pass(name.substr(0, 1) == "=" ? name.substr(1) : "write_"+name, short_help),
+ backend_name(name.substr(0, 1) == "=" ? name.substr(1) : name)
{
}
diff --git a/passes/tests/Makefile.inc b/passes/tests/Makefile.inc
new file mode 100644
index 00000000..6497f86e
--- /dev/null
+++ b/passes/tests/Makefile.inc
@@ -0,0 +1,3 @@
+
+OBJS += passes/tests/test_autotb.o
+
diff --git a/backends/autotest/autotest.cc b/passes/tests/test_autotb.cc
index 3bb0f9d6..f121089b 100644
--- a/backends/autotest/autotest.cc
+++ b/passes/tests/test_autotb.cc
@@ -301,13 +301,13 @@ static void autotest(FILE *f, RTLIL::Design *design)
fprintf(f, "endmodule\n");
}
-struct AutotestBackend : public Backend {
- AutotestBackend() : Backend("autotest", "generate simple test benches") { }
+struct TestAutotbBackend : public Backend {
+ TestAutotbBackend() : Backend("=test_autotb", "generate simple test benches") { }
virtual void help()
{
// |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
log("\n");
- log(" write_autotest [filename]\n");
+ log(" test_autotb [filename]\n");
log("\n");
log("Automatically create primitive verilog test benches for all modules in the\n");
log("design. The generated testbenches toggle the input pins of the module in\n");
@@ -327,9 +327,9 @@ struct AutotestBackend : public Backend {
}
virtual void execute(FILE *&f, std::string filename, std::vector<std::string> args, RTLIL::Design *design)
{
- log_header("Executing AUTOTEST backend (auto-generate pseudo-random test benches).\n");
+ log_header("Executing TEST_AUTOTB backend (auto-generate pseudo-random test benches).\n");
extra_args(f, filename, args, 1);
autotest(f, design);
}
-} AutotestBackend;
+} TestAutotbBackend;
diff --git a/tests/tools/autotest.sh b/tests/tools/autotest.sh
index c383e19f..1130bbb7 100755
--- a/tests/tools/autotest.sh
+++ b/tests/tools/autotest.sh
@@ -93,7 +93,7 @@ do
cd ${bn}.out
cp ../$fn $fn
if [ ! -f ../${bn}_tb.v ]; then
- "$toolsdir"/../../yosys -b autotest -o ${bn}_tb.v $fn
+ "$toolsdir"/../../yosys -b test_autotb -o ${bn}_tb.v $fn
else
cp ../${bn}_tb.v ${bn}_tb.v
fi