summaryrefslogtreecommitdiff
path: root/examples/basys3/example.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'examples/basys3/example.xdc')
-rw-r--r--examples/basys3/example.xdc3
1 files changed, 3 insertions, 0 deletions
diff --git a/examples/basys3/example.xdc b/examples/basys3/example.xdc
index c1fd0e92..8cdaa199 100644
--- a/examples/basys3/example.xdc
+++ b/examples/basys3/example.xdc
@@ -19,3 +19,6 @@ set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN L1 } [get_ports {LD[15]}]
create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports CLK]
+set_property CONFIG_VOLTAGE 3.3 [current_design]
+set_property CFGBVS VCCO [current_design]
+