summaryrefslogtreecommitdiff
path: root/examples/cmos/counter_tb.v
diff options
context:
space:
mode:
Diffstat (limited to 'examples/cmos/counter_tb.v')
-rw-r--r--examples/cmos/counter_tb.v4
1 files changed, 2 insertions, 2 deletions
diff --git a/examples/cmos/counter_tb.v b/examples/cmos/counter_tb.v
index bcd7d992..11e82507 100644
--- a/examples/cmos/counter_tb.v
+++ b/examples/cmos/counter_tb.v
@@ -12,7 +12,7 @@ module counter_tb;
# 4 reset = 0;
# 6 $finish;
end
-
+
/* Make enable with period of 8 and 6,7 low */
reg en = 1;
always begin
@@ -25,7 +25,7 @@ module counter_tb;
/* Make a regular pulsing clock. */
reg clk = 0;
always #1 clk = !clk;
-
+
/* UUT */
wire [2:0] count;
counter c1 (clk, reset, en, count);