summaryrefslogtreecommitdiff
path: root/examples/gowin/demo.v
diff options
context:
space:
mode:
Diffstat (limited to 'examples/gowin/demo.v')
-rw-r--r--examples/gowin/demo.v12
1 files changed, 12 insertions, 0 deletions
diff --git a/examples/gowin/demo.v b/examples/gowin/demo.v
new file mode 100644
index 00000000..6ea10838
--- /dev/null
+++ b/examples/gowin/demo.v
@@ -0,0 +1,12 @@
+module demo (
+ input clk,
+ input [3:0] sw,
+ output [15:0] leds,
+ output [7:0] seg7dig,
+ output [3:0] seg7sel
+);
+ localparam PRESCALE = 20;
+ reg [PRESCALE+3:0] counter = 0;
+ always @(posedge clk) counter <= counter + 1;
+ assign leds = 1 << counter[PRESCALE +: 4];
+endmodule