summaryrefslogtreecommitdiff
path: root/examples/intel/asicworld_lfsr/lfsr_updown_tb.v
diff options
context:
space:
mode:
Diffstat (limited to 'examples/intel/asicworld_lfsr/lfsr_updown_tb.v')
-rw-r--r--examples/intel/asicworld_lfsr/lfsr_updown_tb.v34
1 files changed, 34 insertions, 0 deletions
diff --git a/examples/intel/asicworld_lfsr/lfsr_updown_tb.v b/examples/intel/asicworld_lfsr/lfsr_updown_tb.v
new file mode 100644
index 00000000..db29e60f
--- /dev/null
+++ b/examples/intel/asicworld_lfsr/lfsr_updown_tb.v
@@ -0,0 +1,34 @@
+module tb();
+ reg clk;
+ reg reset;
+ reg enable;
+ reg up_down;
+
+ wire [7 : 0] count;
+ wire overflow;
+
+initial begin
+ $monitor("rst %b en %b updown %b cnt %b overflow %b",
+ reset,enable,up_down,count, overflow);
+ clk = 0;
+ reset = 1;
+ enable = 0;
+ up_down = 0;
+ #10 reset = 0;
+ #1 enable = 1;
+ #20 up_down = 1;
+ #30 $finish;
+end
+
+always #1 clk = ~clk;
+
+lfsr_updown U(
+.clk ( clk ),
+.reset ( reset ),
+.enable ( enable ),
+.up_down ( up_down ),
+.count ( count ),
+.overflow ( overflow )
+);
+
+endmodule