summaryrefslogtreecommitdiff
path: root/examples/smtbmc/demo2.v
diff options
context:
space:
mode:
Diffstat (limited to 'examples/smtbmc/demo2.v')
-rw-r--r--examples/smtbmc/demo2.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/examples/smtbmc/demo2.v b/examples/smtbmc/demo2.v
index 34745e89..0cf529a4 100644
--- a/examples/smtbmc/demo2.v
+++ b/examples/smtbmc/demo2.v
@@ -9,7 +9,7 @@
module demo2(input clk, input [4:0] addr, output reg [31:0] data);
reg [31:0] mem [0:31];
- always @(posedge clk)
+ always @(negedge clk)
data <= mem[addr];
reg [31:0] used_addr = 0;