summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_ExAdv/macc_simple_xmap.v
diff options
context:
space:
mode:
Diffstat (limited to 'manual/PRESENTATION_ExAdv/macc_simple_xmap.v')
-rw-r--r--manual/PRESENTATION_ExAdv/macc_simple_xmap.v6
1 files changed, 6 insertions, 0 deletions
diff --git a/manual/PRESENTATION_ExAdv/macc_simple_xmap.v b/manual/PRESENTATION_ExAdv/macc_simple_xmap.v
new file mode 100644
index 00000000..42f5bae9
--- /dev/null
+++ b/manual/PRESENTATION_ExAdv/macc_simple_xmap.v
@@ -0,0 +1,6 @@
+module macc_16_16_32(a, b, c, y);
+input [15:0] a, b;
+input [31:0] c;
+output [31:0] y;
+assign y = a*b + c;
+endmodule