summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_ExAdv/sym_mul_map.v
diff options
context:
space:
mode:
Diffstat (limited to 'manual/PRESENTATION_ExAdv/sym_mul_map.v')
-rw-r--r--manual/PRESENTATION_ExAdv/sym_mul_map.v6
1 files changed, 3 insertions, 3 deletions
diff --git a/manual/PRESENTATION_ExAdv/sym_mul_map.v b/manual/PRESENTATION_ExAdv/sym_mul_map.v
index 293c5b84..b4dbd9e0 100644
--- a/manual/PRESENTATION_ExAdv/sym_mul_map.v
+++ b/manual/PRESENTATION_ExAdv/sym_mul_map.v
@@ -4,12 +4,12 @@ module \$mul (A, B, Y);
parameter A_WIDTH = 1;
parameter B_WIDTH = 1;
parameter Y_WIDTH = 1;
-
+
input [A_WIDTH-1:0] A;
input [B_WIDTH-1:0] B;
output [Y_WIDTH-1:0] Y;
-
+
wire _TECHMAP_FAIL_ = A_WIDTH != B_WIDTH || B_WIDTH != Y_WIDTH;
-
+
MYMUL #( .WIDTH(Y_WIDTH) ) g ( .A(A), .B(B), .Y(Y) );
endmodule