summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_ExSyn/abc_01.v
diff options
context:
space:
mode:
Diffstat (limited to 'manual/PRESENTATION_ExSyn/abc_01.v')
-rw-r--r--manual/PRESENTATION_ExSyn/abc_01.v10
1 files changed, 10 insertions, 0 deletions
diff --git a/manual/PRESENTATION_ExSyn/abc_01.v b/manual/PRESENTATION_ExSyn/abc_01.v
new file mode 100644
index 00000000..3bc68635
--- /dev/null
+++ b/manual/PRESENTATION_ExSyn/abc_01.v
@@ -0,0 +1,10 @@
+module test(input clk, a, b, c,
+ output reg y);
+
+ reg [2:0] q1, q2;
+ always @(posedge clk) begin
+ q1 <= { a, b, c };
+ q2 <= q1;
+ y <= ^q2;
+ end
+endmodule