summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_ExSyn/opt_03.v
diff options
context:
space:
mode:
Diffstat (limited to 'manual/PRESENTATION_ExSyn/opt_03.v')
-rw-r--r--manual/PRESENTATION_ExSyn/opt_03.v4
1 files changed, 4 insertions, 0 deletions
diff --git a/manual/PRESENTATION_ExSyn/opt_03.v b/manual/PRESENTATION_ExSyn/opt_03.v
new file mode 100644
index 00000000..134161bb
--- /dev/null
+++ b/manual/PRESENTATION_ExSyn/opt_03.v
@@ -0,0 +1,4 @@
+module test(input [3:0] A, B,
+ output [3:0] Y, Z);
+assign Y = A + B, Z = B + A;
+endmodule