summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_ExSyn/techmap_01.v
diff options
context:
space:
mode:
Diffstat (limited to 'manual/PRESENTATION_ExSyn/techmap_01.v')
-rw-r--r--manual/PRESENTATION_ExSyn/techmap_01.v4
1 files changed, 4 insertions, 0 deletions
diff --git a/manual/PRESENTATION_ExSyn/techmap_01.v b/manual/PRESENTATION_ExSyn/techmap_01.v
new file mode 100644
index 00000000..c53ca91a
--- /dev/null
+++ b/manual/PRESENTATION_ExSyn/techmap_01.v
@@ -0,0 +1,4 @@
+module test(input [31:0] a, b,
+ output [31:0] y);
+assign y = a + b;
+endmodule