summaryrefslogtreecommitdiff
path: root/techlibs/cmos/cmos_cells.v
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/cmos/cmos_cells.v')
-rw-r--r--techlibs/cmos/cmos_cells.v15
1 files changed, 12 insertions, 3 deletions
diff --git a/techlibs/cmos/cmos_cells.v b/techlibs/cmos/cmos_cells.v
index da75270c..27278fac 100644
--- a/techlibs/cmos/cmos_cells.v
+++ b/techlibs/cmos/cmos_cells.v
@@ -1,17 +1,26 @@
+module BUF(A, Y);
+input A;
+output Y;
+assign Y = A;
+endmodule
+
module NOT(A, Y);
input A;
-output Y = ~A;
+output Y;
+assign Y = ~A;
endmodule
module NAND(A, B, Y);
input A, B;
-output Y = ~(A & B);
+output Y;
+assign Y = ~(A & B);
endmodule
module NOR(A, B, Y);
input A, B;
-output Y = ~(A | B);
+output Y;
+assign Y = ~(A | B);
endmodule
module DFF(C, D, Q);