summaryrefslogtreecommitdiff
path: root/techlibs/common/pmux2mux.v
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/common/pmux2mux.v')
-rw-r--r--techlibs/common/pmux2mux.v22
1 files changed, 22 insertions, 0 deletions
diff --git a/techlibs/common/pmux2mux.v b/techlibs/common/pmux2mux.v
new file mode 100644
index 00000000..72e97c08
--- /dev/null
+++ b/techlibs/common/pmux2mux.v
@@ -0,0 +1,22 @@
+module \$pmux (A, B, S, Y);
+
+wire [1023:0] _TECHMAP_DO_ = "proc; clean";
+
+parameter WIDTH = 1;
+parameter S_WIDTH = 1;
+
+input [WIDTH-1:0] A;
+input [WIDTH*S_WIDTH-1:0] B;
+input [S_WIDTH-1:0] S;
+output reg [WIDTH-1:0] Y;
+
+integer i;
+
+always @* begin
+ Y <= A;
+ for (i = 0; i < S_WIDTH; i=i+1)
+ if (S[i])
+ Y <= B[WIDTH*i +: WIDTH];
+end
+
+endmodule