summaryrefslogtreecommitdiff
path: root/techlibs/common
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/common')
-rw-r--r--techlibs/common/techmap.v17
1 files changed, 17 insertions, 0 deletions
diff --git a/techlibs/common/techmap.v b/techlibs/common/techmap.v
index d6b24945..c0645267 100644
--- a/techlibs/common/techmap.v
+++ b/techlibs/common/techmap.v
@@ -841,3 +841,20 @@ module \$pmux (A, B, S, Y);
assign Y = |S ? Y_B : A;
endmodule
+
+// --------------------------------------------------------
+// LUTs
+// --------------------------------------------------------
+
+`ifndef NOLUT
+module \$lut (A, Y);
+ parameter WIDTH = 1;
+ parameter LUT = 0;
+
+ input [WIDTH-1:0] A;
+ output Y;
+
+ assign Y = LUT[A];
+endmodule
+`endif
+