summaryrefslogtreecommitdiff
path: root/techlibs/xilinx/example_basys3/README
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/xilinx/example_basys3/README')
-rw-r--r--techlibs/xilinx/example_basys3/README16
1 files changed, 16 insertions, 0 deletions
diff --git a/techlibs/xilinx/example_basys3/README b/techlibs/xilinx/example_basys3/README
new file mode 100644
index 00000000..85b6eab1
--- /dev/null
+++ b/techlibs/xilinx/example_basys3/README
@@ -0,0 +1,16 @@
+
+A simple example design, based on the Digilent BASYS3 board
+===========================================================
+
+Running Yosys:
+ yosys run_yosys.ys
+
+Running Vivado:
+ vivado -nolog -nojournal -mode batch -source run_vivado.tcl
+
+Programming board:
+ vivado -nolog -nojournal -mode batch -source run_prog.tcl
+
+All of the above:
+ bash run.sh
+