summaryrefslogtreecommitdiff
path: root/techlibs/xilinx/example_basys3/run.sh
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/xilinx/example_basys3/run.sh')
-rw-r--r--techlibs/xilinx/example_basys3/run.sh4
1 files changed, 0 insertions, 4 deletions
diff --git a/techlibs/xilinx/example_basys3/run.sh b/techlibs/xilinx/example_basys3/run.sh
deleted file mode 100644
index 10f05910..00000000
--- a/techlibs/xilinx/example_basys3/run.sh
+++ /dev/null
@@ -1,4 +0,0 @@
-#!/bin/bash
-yosys run_yosys.ys
-vivado -nolog -nojournal -mode batch -source run_vivado.tcl
-vivado -nolog -nojournal -mode batch -source run_prog.tcl