summaryrefslogtreecommitdiff
path: root/techlibs/xilinx/example_basys3/run_vivado.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/xilinx/example_basys3/run_vivado.tcl')
-rw-r--r--techlibs/xilinx/example_basys3/run_vivado.tcl9
1 files changed, 9 insertions, 0 deletions
diff --git a/techlibs/xilinx/example_basys3/run_vivado.tcl b/techlibs/xilinx/example_basys3/run_vivado.tcl
new file mode 100644
index 00000000..c3b6a610
--- /dev/null
+++ b/techlibs/xilinx/example_basys3/run_vivado.tcl
@@ -0,0 +1,9 @@
+read_xdc example.xdc
+read_edif example.edif
+link_design -part xc7a35tcpg236-1 -top example
+opt_design
+place_design
+route_design
+report_utilization
+report_timing
+write_bitstream -force example.bit