summaryrefslogtreecommitdiff
path: root/techlibs/xilinx/example_mojo_counter/example.v
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/xilinx/example_mojo_counter/example.v')
-rw-r--r--techlibs/xilinx/example_mojo_counter/example.v6
1 files changed, 3 insertions, 3 deletions
diff --git a/techlibs/xilinx/example_mojo_counter/example.v b/techlibs/xilinx/example_mojo_counter/example.v
index 8e79942e..cb98cc1b 100644
--- a/techlibs/xilinx/example_mojo_counter/example.v
+++ b/techlibs/xilinx/example_mojo_counter/example.v
@@ -1,13 +1,13 @@
-module top(clk, led_7, led_6, led_5, led_4, led_3, led_2, led_1, led_0);
+module top(clk, ctrl, led_7, led_6, led_5, led_4, led_3, led_2, led_1, led_0);
-input clk;
+input clk, ctrl;
output led_7, led_6, led_5, led_4;
output led_3, led_2, led_1, led_0;
reg [31:0] counter;
always @(posedge clk)
- counter <= 32'b_1010_1010_1010_1010_1010_1010_1010_1010; // counter + 1;
+ counter <= counter + (ctrl ? 4 : 1);
assign {led_7, led_6, led_5, led_4, led_3, led_2, led_1, led_0} = counter >> 24;