summaryrefslogtreecommitdiff
path: root/techlibs
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs')
-rw-r--r--techlibs/common/simlib.v2
1 files changed, 2 insertions, 0 deletions
diff --git a/techlibs/common/simlib.v b/techlibs/common/simlib.v
index 16e6a1b2..be9d24f1 100644
--- a/techlibs/common/simlib.v
+++ b/techlibs/common/simlib.v
@@ -927,6 +927,7 @@ end
endmodule
// --------------------------------------------------------
+`ifndef SIMLIB_NOLUT
module \$lut (I, O);
@@ -961,6 +962,7 @@ endgenerate
endmodule
+`endif
// --------------------------------------------------------
module \$assert (A, EN);