summaryrefslogtreecommitdiff
path: root/techlibs
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs')
-rw-r--r--techlibs/common/simlib.v3
1 files changed, 2 insertions, 1 deletions
diff --git a/techlibs/common/simlib.v b/techlibs/common/simlib.v
index ddc7fe3b..9de71e6f 100644
--- a/techlibs/common/simlib.v
+++ b/techlibs/common/simlib.v
@@ -1536,11 +1536,12 @@ module \$meminit (ADDR, DATA);
parameter MEMID = "";
parameter ABITS = 8;
parameter WIDTH = 8;
+parameter WORDS = 1;
parameter PRIORITY = 0;
input [ABITS-1:0] ADDR;
-input [WIDTH-1:0] DATA;
+input [WORDS*WIDTH-1:0] DATA;
initial begin
if (MEMID != "") begin