summaryrefslogtreecommitdiff
path: root/tests/asicworld/code_verilog_tutorial_bus_con.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/asicworld/code_verilog_tutorial_bus_con.v')
-rw-r--r--tests/asicworld/code_verilog_tutorial_bus_con.v8
1 files changed, 8 insertions, 0 deletions
diff --git a/tests/asicworld/code_verilog_tutorial_bus_con.v b/tests/asicworld/code_verilog_tutorial_bus_con.v
new file mode 100644
index 00000000..b100c813
--- /dev/null
+++ b/tests/asicworld/code_verilog_tutorial_bus_con.v
@@ -0,0 +1,8 @@
+module bus_con (a,b, y);
+ input [3:0] a, b;
+ output [7:0] y;
+ wire [7:0] y;
+
+ assign y = {a,b};
+
+endmodule