summaryrefslogtreecommitdiff
path: root/tests/asicworld/code_verilog_tutorial_task_global.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/asicworld/code_verilog_tutorial_task_global.v')
-rw-r--r--tests/asicworld/code_verilog_tutorial_task_global.v12
1 files changed, 12 insertions, 0 deletions
diff --git a/tests/asicworld/code_verilog_tutorial_task_global.v b/tests/asicworld/code_verilog_tutorial_task_global.v
new file mode 100644
index 00000000..3ae86279
--- /dev/null
+++ b/tests/asicworld/code_verilog_tutorial_task_global.v
@@ -0,0 +1,12 @@
+module task_global();
+
+reg [7:0] temp_out;
+reg [7:0] temp_in;
+
+task convert;
+begin
+ temp_out = (9/5) *( temp_in + 32);
+end
+endtask
+
+endmodule