summaryrefslogtreecommitdiff
path: root/tests/asicworld/code_verilog_tutorial_tri_buf.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/asicworld/code_verilog_tutorial_tri_buf.v')
-rw-r--r--tests/asicworld/code_verilog_tutorial_tri_buf.v9
1 files changed, 9 insertions, 0 deletions
diff --git a/tests/asicworld/code_verilog_tutorial_tri_buf.v b/tests/asicworld/code_verilog_tutorial_tri_buf.v
new file mode 100644
index 00000000..a55b29ca
--- /dev/null
+++ b/tests/asicworld/code_verilog_tutorial_tri_buf.v
@@ -0,0 +1,9 @@
+module tri_buf (a,b,enable);
+ input a;
+ output b;
+ input enable;
+ wire b;
+
+assign b = (enable) ? a : 1'bz;
+
+endmodule