summaryrefslogtreecommitdiff
path: root/tests/sat/asserts.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sat/asserts.ys')
-rw-r--r--tests/sat/asserts.ys2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/sat/asserts.ys b/tests/sat/asserts.ys
index de5e7c9a..d8f99492 100644
--- a/tests/sat/asserts.ys
+++ b/tests/sat/asserts.ys
@@ -1,3 +1,3 @@
-read_verilog asserts.v
+read_verilog -sv asserts.v
hierarchy; proc; opt
sat -verify -seq 1 -set-at 1 rst 1 -tempinduct -prove-asserts