summaryrefslogtreecommitdiff
path: root/tests/sat/asserts_seq.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sat/asserts_seq.ys')
-rw-r--r--tests/sat/asserts_seq.ys2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/sat/asserts_seq.ys b/tests/sat/asserts_seq.ys
index c622ef61..e9768664 100644
--- a/tests/sat/asserts_seq.ys
+++ b/tests/sat/asserts_seq.ys
@@ -1,4 +1,4 @@
-read_verilog asserts_seq.v
+read_verilog -sv asserts_seq.v
hierarchy; proc; opt
sat -verify -prove-asserts -tempinduct -seq 1 test_001