summaryrefslogtreecommitdiff
path: root/tests/simple/attrib05_port_conn.v.DISABLED
diff options
context:
space:
mode:
Diffstat (limited to 'tests/simple/attrib05_port_conn.v.DISABLED')
-rw-r--r--tests/simple/attrib05_port_conn.v.DISABLED21
1 files changed, 21 insertions, 0 deletions
diff --git a/tests/simple/attrib05_port_conn.v.DISABLED b/tests/simple/attrib05_port_conn.v.DISABLED
new file mode 100644
index 00000000..e20e6631
--- /dev/null
+++ b/tests/simple/attrib05_port_conn.v.DISABLED
@@ -0,0 +1,21 @@
+module bar(clk, rst, inp, out);
+ input wire clk;
+ input wire rst;
+ input wire inp;
+ output reg out;
+
+ always @(posedge clk)
+ if (rst) out <= 1'd0;
+ else out <= ~inp;
+
+endmodule
+
+module foo(clk, rst, inp, out);
+ input wire clk;
+ input wire rst;
+ input wire inp;
+ output wire out;
+
+ bar bar_instance ( (* clock_connected *) clk, rst, (* this_is_the_input *) inp, out);
+endmodule
+