summaryrefslogtreecommitdiff
path: root/tests/simple/param_attr.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/simple/param_attr.v')
-rw-r--r--tests/simple/param_attr.v11
1 files changed, 11 insertions, 0 deletions
diff --git a/tests/simple/param_attr.v b/tests/simple/param_attr.v
new file mode 100644
index 00000000..34d63a34
--- /dev/null
+++ b/tests/simple/param_attr.v
@@ -0,0 +1,11 @@
+module uut_param_attr (I, O);
+
+(* PARAMETER_ATTRIBUTE = "attribute_content" *)
+parameter WIDTH = 1;
+
+input wire [WIDTH-1:0] I;
+output wire [WIDTH-1:0] O;
+
+assign O = I;
+
+endmodule