summaryrefslogtreecommitdiff
path: root/tests/simple/task_func.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/simple/task_func.v')
-rw-r--r--tests/simple/task_func.v13
1 files changed, 13 insertions, 0 deletions
diff --git a/tests/simple/task_func.v b/tests/simple/task_func.v
index 8dbc90c5..51e31015 100644
--- a/tests/simple/task_func.v
+++ b/tests/simple/task_func.v
@@ -33,3 +33,16 @@ end
endmodule
+
+module task_func_test02( input [7:0] din_a, input [7:0] din_b, output [7:0] dout_a);
+ assign dout_a = test(din_a,din_b);
+ function [7:0] test;
+ input [7:0] a;
+ input [7:0] b;
+ begin : TEST
+ integer i;
+ for (i = 0; i <= 7; i = i + 1)
+ test[i] = a[i] & b[i];
+ end
+ endfunction
+endmodule