summaryrefslogtreecommitdiff
path: root/tests/sva/basic01.sv
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sva/basic01.sv')
-rw-r--r--tests/sva/basic01.sv2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/sva/basic01.sv b/tests/sva/basic01.sv
index 74ab9343..d5ad497d 100644
--- a/tests/sva/basic01.sv
+++ b/tests/sva/basic01.sv
@@ -6,7 +6,7 @@ module top (input logic clock, ctrl);
write <= ctrl;
ready <= write;
end
-
+
a_rw: assert property ( @(posedge clock) !(read && write) );
`ifdef FAIL
a_wr: assert property ( @(posedge clock) write |-> ready );