summaryrefslogtreecommitdiff
path: root/tests/sva/basic04.sv
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sva/basic04.sv')
-rw-r--r--tests/sva/basic04.sv10
1 files changed, 10 insertions, 0 deletions
diff --git a/tests/sva/basic04.sv b/tests/sva/basic04.sv
new file mode 100644
index 00000000..bc46be9f
--- /dev/null
+++ b/tests/sva/basic04.sv
@@ -0,0 +1,10 @@
+module top_properties (input logic clock, read, write, ready);
+ a_rw: assert property ( @(posedge clock) !(read && write) );
+`ifdef FAIL
+ a_wr: assert property ( @(posedge clock) write |-> ready );
+`else
+ a_wr: assert property ( @(posedge clock) write |=> ready );
+`endif
+endmodule
+
+bind top top_properties properties_inst (.*);