summaryrefslogtreecommitdiff
path: root/tests/sva/sva_range.sv
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sva/sva_range.sv')
-rw-r--r--tests/sva/sva_range.sv19
1 files changed, 19 insertions, 0 deletions
diff --git a/tests/sva/sva_range.sv b/tests/sva/sva_range.sv
new file mode 100644
index 00000000..d1569fc8
--- /dev/null
+++ b/tests/sva/sva_range.sv
@@ -0,0 +1,19 @@
+module top (
+ input clk,
+ input a, b, c, d
+);
+ default clocking @(posedge clk); endclocking
+
+ assert property (
+ a ##[*] b |=> c until d
+ );
+
+`ifndef FAIL
+ assume property (
+ b |=> ##5 d
+ );
+ assume property (
+ b || (c && !d) |=> c
+ );
+`endif
+endmodule