summaryrefslogtreecommitdiff
path: root/tests/sva/sva_throughout.sv
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sva/sva_throughout.sv')
-rw-r--r--tests/sva/sva_throughout.sv19
1 files changed, 19 insertions, 0 deletions
diff --git a/tests/sva/sva_throughout.sv b/tests/sva/sva_throughout.sv
new file mode 100644
index 00000000..7e036a06
--- /dev/null
+++ b/tests/sva/sva_throughout.sv
@@ -0,0 +1,19 @@
+module top (
+ input clk,
+ input a, b, c, d
+);
+ default clocking @(posedge clk); endclocking
+
+ assert property (
+ a |=> b throughout (c ##1 d)
+ );
+
+`ifndef FAIL
+ assume property (
+ a |=> b && c
+ );
+ assume property (
+ b && c |=> b && d
+ );
+`endif
+endmodule