summaryrefslogtreecommitdiff
path: root/tests/techmap/mem_simple_4x1_uut.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/techmap/mem_simple_4x1_uut.v')
-rw-r--r--tests/techmap/mem_simple_4x1_uut.v15
1 files changed, 15 insertions, 0 deletions
diff --git a/tests/techmap/mem_simple_4x1_uut.v b/tests/techmap/mem_simple_4x1_uut.v
new file mode 100644
index 00000000..8d461459
--- /dev/null
+++ b/tests/techmap/mem_simple_4x1_uut.v
@@ -0,0 +1,15 @@
+module uut (clk, rst, out, counter);
+
+input clk, rst;
+output reg [7:0] out;
+output reg [4:0] counter;
+
+reg [7:0] memory [0:19];
+
+always @(posedge clk) begin
+ counter <= rst || counter == 19 ? 0 : counter+1;
+ memory[counter] <= counter;
+ out <= memory[counter];
+end
+
+endmodule