summaryrefslogtreecommitdiff
path: root/tests/various/attrib05_port_conn.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/various/attrib05_port_conn.ys')
-rw-r--r--tests/various/attrib05_port_conn.ys2
1 files changed, 2 insertions, 0 deletions
diff --git a/tests/various/attrib05_port_conn.ys b/tests/various/attrib05_port_conn.ys
new file mode 100644
index 00000000..27a01673
--- /dev/null
+++ b/tests/various/attrib05_port_conn.ys
@@ -0,0 +1,2 @@
+# Read and parse Verilog file
+read_verilog attrib05_port_conn.v